QuartusII13.0与Modelsim SE安装与破解说明.docx

  1. 1、本文档共47页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
QuartusII13.0与Modelsim SE安装与破解说明

QuartusII13.0与Modelsim SE安装与破解说明??FPGA开发使用到的常用软件为QuartusII和Modelsim,QuartusII为设计软件,Modelsim为仿真软件。本人目前使用的QuartusII为13.0版本,使用的Modelsim为SE的10.4版本的。(SE版本什么意思,在以后的学习中大家一起慢慢补充)??学习FPGA的前提是要安装这两款软件,需要软件的可以联系我。两款软件大体分为三个步骤:??1.安装。??2.破解。??3.关联两个软件。??本人使用的电脑为Win7的64位系统,32位系统装步骤大同小异。现将这两款软件的安装及破解详细说明如下。一、QuartusII13.0安装与破解(1)安装QuartusII13.0??安装的过程完全按照它的提示来,本人建议不要安装在C盘,毕竟C盘内存宝贵。我的QuartusII13.0安装的路径为E:\soft\quartus13.0,路径不可出现汉字。(2)破解QuartusII13.01.首先将破解器复制到E:\soft\quartus13.0\quartus\bin64的目录下。2.然后运行破解器,点“应用”直接进行破解,生成的License保存在E:\soft\quartus13.0\quartus\bin64的目录下。3.接着,打开软件,找到软件的NIC的ID,复制其中的一个ID。4.然后,找到第2步保存的License,使用记事本打开,将其中的XXXXXXXXXXXX全部替换成第3步的ID,保存即可。再次打开软件,点“Tools”,找到“License setup”,会弹出一个对话框。如图1.1所示。选择好License file的路径,然后会出现使用的年限,如图1.2所示,即说明破解成功。file:///C:\Users\luqili\AppData\Local\Temp\msohtmlclip1\01\clip_image002.jpg图1.1 License setup对话框file:///C:\Users\luqili\AppData\Local\Temp\msohtmlclip1\01\clip_image001.jpg图1.2 破解成功示意图二、Modelsim SE安装与破解(1)安装Modelsim SE安装的过程按照它的提示进行,我的安装路径为E:\soft\modelsim10.4an,安装路径不可出现汉字。(2)破解Modelsim SE??1.首先,要找到安装路径E:\soft\modelsim10.4an\win64下的mgls.dll和mgls64.dll两个文件,右击属性,修改他们的只读属性。??2.把破解器里的MentorKG.exe和patch_dll.bat拷贝到第1步软件安装路径下的win64文件夹内。??3.然后,点patch_dll.bat文件,运行一次。此时会弹出一个对话框,等待结束后会产生一个License,将此License另存到win64的文件夹内。??4.再次找到mgls.dll和 mgls64.dll两个文件,设置他们为只读属性。??5.进行环境变量的设置。右击桌面“计算机”,找到“系统属性”,点击“环境变量(N)...”。如图1.3所示。然后单击“环境变量”,会弹出图1.4所示的对话框。在用户变量和系统变量里均新建一个环境变量,出现的对话框如图1.5所示。环境变量的变量名为:MGLS_LICENSE_FILE,变量值为:E:\soft\modelsim10.4an\win64\LICENSE。注意,此处的变量值一定要精确到LICENSE,否则环境变量设置不成功。file:///C:\Users\luqili\AppData\Local\Temp\msohtmlclip1\01\clip_image002.jpg图1.3 系统属性图1.4 环境变量file:///C:\Users\luqili\AppData\Local\Temp\msohtmlclip1\01\clip_image001.jpg图1.5 新建环境变量6.完成以上步骤,即Modelsim SE破解成功,可以打开软件使用。三、关联QuartusII13.0与Modelsim SE??1.打开QuartusII软件,点“Tools”,找到“Options...”会弹出图1.6所示的对话框。点“EDA Tool Options”,在Modelsim栏内选择Modelsim SE的安装路径。注意:这里只要把路径确定到win64即可,而不需要确定到win64\Modelsim.exe,这点非常重要,否则,会出现关联不成功的情况。file:///C:\Users\luqili\AppData\Local\Temp\msohtmlcl

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档