Xilinx以及Altera逻辑单元比较.pdf

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Xilinx以及Altera逻辑单元比较

                       VS       Xilinx Altera FPGA 中的逻辑资源(Slices LE)比较  hql.bit@          经常有朋友会问我,“我这个方案是用A 家的FPGA 还是X 家的FPGA 呢?他们的容量够不够呢? 他们的容量怎么比较呢?”当然,在大部分时候,我在给客户做设计的时候,直接会用到最高容量 的产品,因为我们的产品对成本不敏感。不过,在此还是比较一下两家的产品,简单写写一些自己 的想法,供大家参考,如有不对的地方,还请指正!  要比较Xilinx 和Altera 的FPGA,就要清楚两个大厂FPGA 的结构,由于各自设计的不同,两家 的FPGA 结构各不相同,参数也各不相同,但可以统一到LUT(Look‐Up‐Table)查找表上。  下图就是A 家的Cyclone II 系列片子的参数:    而下图是X 家的Spartan‐6  片子资料      A 家的C3 以下的片子一个LE 都是这样的结构:        而X 家的S‐6 片子,一个Slice 内部有4 个lut,8 个FF。简而言之,一个Slice= 四个LE。要注意 的是A 家C3

文档评论(0)

liwenhua11 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档