九_VHDL语句1.ppt

  1. 1、本文档共61页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
九_VHDL语句1

EDA技术实用教程;9.1 顺序语句;;;9.1.4 LOOP语句;【例9-4】 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY p_check IS PORT ( a : IN STD_LOGIC_VECTOR (7 DOWNTO 0); y : OUT STD_LOGIC ); END p_check; ARCHITECTURE opt OF p_check IS   SIGNAL tmp :STD_LOGIC ; BEGIN PROCESS(a) BEGIN tmp =0; FOR n IN 0 TO 7 LOOP tmp = tmp XOR a(n); END LOOP ; y = tmp; END PROCESS; END opt; ;【例9-5】 SIGNAL a, b, c : STD_LOGIC_VECTOR (1 TO 3); ... FOR n IN 1 To 3 LOOP a(n) = b(n) AND c(n); END LOOP;;library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity chuan_bing is port(load : in std_logic; d_in : in std_logic; d_out:buffer std_logic_vector(7 downto 0); clk :in std_logic); end chuan_bing; architecture arch of chuan_bing is signal l : std_logic_vector(7 downto 0); ;begin process(clk) begin if(clkevent and clk=1) then l(0)=d_in; if(load=0) then for i in 6 downto 0 loop l(i+1)=l(i); end loop; else d_out=l; end if; end if; end process; end arch; ;LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT IS PORT (CLK : IN STD_LOGIC; CQ : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); COUT : OUT STD_LOGIC ); END CNT; ARCHITECTURE behav OF CNT IS BEGIN PROCESS(CLK) VARIABLE CQI : STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN if CLKEVENT AND CLK=1 THEN CQI := CQI + 1; END IF; IF CQI =111 THEN COUT = 1; ELSE COUT = 0; END IF; CQ = CQI; END PROCESS; END behav; ;Evaluation only. Created with Aspose.Slides for .NET 3.5 Client Profile 5.2.0.0. Copyright 2004-2011 Aspose Pty Ltd.;9.1.5 NEXT语句;9.1.6 EXIT语句;9.1.7 WAIT语句;9.1.7 WAIT语句;【例9-11】 ... PROCESS BEGIN WAIT UNTIL clk =1; ave = a; WAIT UNTIL clk =1; ave = ave + a; WAIT UNTIL clk =1; ave = ave + a; WAIT UNTIL clk =1; ave = (ave + a)/4 ; END PROCESS ;;【例9-

文档评论(0)

junzilan11 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档