第章 EDA技术实验.ppt

  1. 1、本文档共95页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第章 EDA技术实验

第二篇 实验部分 1.【实验目的】 EDA技术实验的目的是为了进一步巩固EDA技术课程的基本理论,深化对所学课程理论知识的理解,使学生了解和掌握EDA技术中 CPLD/FPGA的体系结构、工作原理、功能和特点;掌握电子线路硬件描述语言(VHDL);初步具备利用CPLD/FPGA芯片设计、开发、调试电子系统的能力;掌握和使用Quartus II开发系统进行电子系统的设计、仿真、测试技术,培养学生综合运用所学知识分析处理工程实际问题的能力,创造性思维能力、自学能力、实践能力、工程实践能力和科学严谨的工作作风。 第二篇 实验部分 2. 【实验报告的撰写】 实验报告是实验工作的全面总结和最终成果,要求实验报告能完整而真实的反映实验结果。撰写实验报告要遵守一定规范和要求,即实验报告要书写工整、语句通顺、数据准确并且图表清晰,并能从实验过程的观测中找出问题进行分析和讨论,发表自己的见解。 报告的主要内容 第二篇 实验部分 2. 【实验报告的撰写】 (1)实验名称。 (2) 实验目的。 (3) 实验仪器名称、型号。 (4) 实验内容及简要设计(逻辑图、VHDL语言程序、主要实验步骤以及仿真波形等)。 (5)实验分析、体会和结论等。 实验1 简单逻辑电路的原理图设计 一. 实验目的 1. 学习并掌握Quartus II 开发系统的基本操作。 2. 学习并掌握在Quartus II中原理图设计电路的方法。 3. 掌握在Quartus II中设计简单逻辑电路与仿真的方法。 4. 掌握CPLD/FPGA的开发流程。 5. 掌握EDA实验开发系统的使用。 二. 实验要求 1. 预习教材中的相关内容。 2. 阅读并熟悉本次实验的内容。 3. 用图形输入方式完成电路设计。 4. 分析功能仿真与时序仿真的差别。 5. 下载电路到EDA实验系统验证结果。 实验1 简单逻辑电路的原理图设计 (2)原理图设计、编译和仿真方法与步骤参看第2章第2节。 (3)引脚分配 实验1 简单逻辑电路的原理图设计 2. 设计一个BCD译码器,进行仿真并下载测试。 (1) BCD译码器电路原理图如图11.6所示。 实验1 简单逻辑电路的原理图设计 (2)用图形编辑方法完成电路的输入,以及管脚命名等,具体步骤参看实验内容1的2-4线译码器。 7448输入信号为BCD码,输出端为a、b、c、d、e、f、g共7线,连接共阴数码管的a、b、c、d、e、f、g七段,另有3条控制线接VCC,RBON端为测试端。 (3)电路仿真 建立波形文件,加入节点,完成功能仿真,为了便于分析,将图中单独的端口进行了合并,如图11.7所示,图中数据用16进制显示。时序仿真波形图如图11.8所示,图中数据用2进制显示。 实验1 简单逻辑电路的原理图设计 实验2 计数器的原理图设计 一. 实验目的 1. 进一步学习并掌握Quartus II 开发系统的基本操作。 2. 掌握利用Quartus II设计电路原理图的方法。 3. 掌握在Quartus II中设计计数器电路与仿真的方法。 4. 掌握CPLD/FPGA的开发流程。 5. 掌握EDA实验开发系统的使用。 二. 实验要求 1. 预习教材中的相关内容。 2. 阅读并熟悉本次实验的内容。 3. 用图形输入方式完成电路设计。 4. 分析功能仿真与时序仿真的差别。 5. 下载电路到EDA实验系统验证结果。 实验2 计数器的原理图设计 (2)用Quartus II软件完成如图11.9所示的电路,建立波形文件并进行仿真。功能仿真波形如图11.10所示。 实验2 计数器的原理图设计 (3)下载验证 对4进制加法计数器进行引脚分配再重新编译,下载到EDA实验系统上进行验证。 实验2 计数器的原理图设计 2. 设计一个有时钟使能的2位10计数器 (1)设计电路原理图 74390是一个双十进制计数器,是频率计的核心元件之一,10进制频率计数器是一个含有时钟使能及进位扩展输出的十进制计数器,为此用74390和其他一些辅助元件来完成。电路原理图如图11.11所示,图中74390连接成两个独立的十进制计数器,待测频率信号clk通过一个与门进入74390的计数器的时钟输入端1CLKA,与门的另一端由计数使能信号enb控制:当enb=‘1’时允许计数;enb=‘0’时禁止计数。计数器1 实验2 计数器的原理图设计 的4位输出q[3]、q[2]、q[1]和q[0]并成总线表达方式即q[3..0],由图11.11中的OUTPUT输出端口向外输出计数值,同时由一个4输入与门和两个反相器构成进位信号进入第二个计数器的时钟输入端2CL

您可能关注的文档

文档评论(0)

docman126 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档