- 1、本文档共5页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数电课程设计2015(西电机电院)
数字电子技术基础课程设计2015设计要求:ADC0804输入,255-输入(=学号后3位),PWM输出一、ADC0804的相关知识1,所谓A/D转换器就是模拟/数字转换器(ADC),是将输入的模拟信号转换成数字信号。信号输入端可以是传感器或转换器的输出,而ADC的数字信号也可能提供给微处理器,以便广泛地应用。 2, ADC0804的规格及引脚图8位COMS依次逼近型的A/D转换器. 三态锁定输出存取时间:135US 分辨率:8位转换时间:100US 总误差:正负1LSB工作温度:ADC0804LCN---0~70度引脚图/CS/RD/RD为HI时,DB0~DB7处理高阻抗:/RD为LO时,数字数据才会相当于ADC的转换开始(/CS=0时),当/WR由HI变为LO时,转换器被清除式开始。CLK IN接振荡无件(R,C)频率约限制在100KHZ~1460KHZ,如果使用RC电路则其振荡频率为)/INTR:中断请求,低地平动作.VIN(+) VIN(-) :差动模拟电压输入.输入单端正电压时, VIN(-)接地:而差动输入时, 直接加入VIN(+) VIN(-).AGND,DGND:模拟信号以及数字信号的接地. VREF:辅助参考电压. DB0~DB7:8位的数字输出.VCC: 电源供应以及作为电路的参考电压十六进制F E D C B A 9 8 7 3 2 1 01111 1110 1101 1100 1011 1010 1001 0011 0010 0001 0000 二进制码与满刻度的比率高四位字节 15/16 14/16 13/16 10/16 5/16 4/16 3/16 2/16 1/16低四位字节 14/256 12/256 8/256 7/256 6/256 5/256 4/256 3/256 2/256 1/256伏高四 4.800 4.480 4.160 3.840 3.520 3.200 2.880 2.560 2.240 1.920 1.600 1.280 0.960 0.640 0.320 0电压 0.300 0.280 0.260 0.240. 0.220. 0.200 0.180 0.160 0.140. 0.120. 0.100 0.080 0.060 0.040. 0.020. 0例:VIN=3V,由上表可知2. 880+0.120=3V 96H 功能说明1,ADC0804将输入模拟值转换成数字值输出到P0,使相对应的LED亮.如输入3V,ADC0804的输出应为96H此数字信号送入8051的P1,再由P1存入8051的累加器,然后累加器再到P0,使相应的LED亮.二、设计采用OuartusII开发平台和VHDL语言完成程序设计。1、vHdl文件library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entitybaekhyun isport(reset : in std_logic;clk : in std_logic;时钟输入intr : in std_logic;data_i : in std_logic_vector(7 downto 0);ADC转换结果数据data_o : out std_logic_vector(7 downto 0);读取结果数据cs : out std_logic;wr : out std_logic;rd : out std_logic );--时钟输入,计数器异步清0输入,计数器使能端,复位信号,转换结束信号endbaekhyun;architecture Behavioral of baekhyun istype state is (s0, s1, s2, s3);状态转换及信号控制进程signalcurrent_state, next_state : state;signaldata_r : std_logic_vector(7 downto 0);signalread_data : std_logic;beginsync :process(reset,clk) beginif(reset = 0) thencurrent_state = s0;返回初始状态elsif(clkevent and clk = 1) then current_state = next_state; 状态转换end if;end process sync; comb :process(current_state, intr) 状态转换及信号控制进程begincasecurr
文档评论(0)