可编程序控制系统设计师(三菱)中级理论知识复习详解.doc

可编程序控制系统设计师(三菱)中级理论知识复习详解.doc

  1. 1、本文档共19页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
可编程序控制系统设计师(三菱)中级理论知识复习 一、单项选择题 1.以下对S7-200PLC变量存储器V描述不正确的是(  )。 A、是功能指令经常使用的软元件 B、只能存取16位的数据 C、常用于模拟量处理场合 D、可以与中间继电器进行数据交换 2.(  )任何时刻的输出只与该时刻的输入状态有关。 A、数字逻辑电路 B、基本逻辑电路 C、时序逻辑电路 D、组合逻辑电路 3.以下关于PLC梯形图的编程规则表述正确的是(  )。 A、左母线必须,右母线可省略 B、触点不能是网络的结尾 C、线圈的前面必须有触点 D、以上都正确 4.PLC控制系统上电后,导致某个输出点(O)状态指示灯亮的原因是(  )。 A、该输出点(O)已连接外部负载 B、在用户程序中使用了该输出点 C、PLC正处于运行状态 D、PLC在停机状态下对该输出点(O)实施强制 5.模拟量I/O模块的主要功能是数据转换,并与( )相连,同时为了安全也有电气隔离功能。 A、存储器 B、CPU C、外部总线 D、PLC内部总线 6.模拟量输出模块的技术指标包括:(  )、最大I/O点数、转换位数。 A、电阻或模拟电流 B、模拟电压或电阻 C、模拟电压或模拟电流 D、电阻或电感 7.PLC连接布线的基本要求包括:(  );牢固、符合规范;连接导线绝缘等级、线径与负载的电压、电流相匹配。 A、PLC的全部连接必须准确无误 B、导线的颜色没有规定 C、连接作业可以在带电下进行 D、PLC模块的插、拔可以在通电下进行 8.触电的主要形式中不包括(  )。 A、单相触电 B、两相触电 C、跨步电压触电 D、漏电触电 9.万用表测量(  )时,应选择合适倍率挡。读数时指针指在刻度尺的1/3~2/3之间时读数较准确。 A、绝缘 B、电压 C、电流 D、电阻 10.PLC输入信号电源和基本电源(  )。 A、必须共用 B、必须分别独立使用 C、可以共用也可以独立使用 D、两者没有关系 11.使用万用表测量(  )之前应将2个表笔短接,同时调节调零旋钮,使指针对准万用表盘右边的零点位置。 A、电压 B、电流 C、电阻 D、绝缘 12.S7-200PLC程序中输出继电器的线圈Q0.0失电时,(  )。 A、程序中Q0.0的常开触点立即断开,并影响之后的程序 B、输出端子0.0与对应的公共端(1L或1L+)之间的开关立即断开 C、程序中Q0.0的常开触点在当前扫描周期的刷新阶段断开 D、输出端子0.0与对应的公共端(1L或1L+)之间的开关在下一个扫描周期的刷新阶段断开 13.西门子S7-200PLC不带有保持功能的通电延时定时器,设定值=300。计时值=1000,则常开触点闭合,之后线圈失电,有如下结果产生(  )。 A、计时值=0,常开触点断开 B、计时值保持不变,常开触点断开 C、计时值保持不变,常开触点保持闭合 D、计时值=0,常开触点保持闭合 14.质量体系审核的依据是ISO9000族标准、相关的法律法规、(  )。 A、国家质量管理条例 B、国家质量管理制度 C、国家质量管理法 D、质量管理体系文件 15.?当强制PLC的输出点时,输出模块上对应指示灯发亮,则表示该点现场连线连接正常,这一说法(  )。 A、正确的 B、错误的 C、不确定 D、以上均不对 16.选用直流电源供电的PLC,原则上应选用稳压电源供电,至少应通过三相桥式整流、(  )后供电。 A、滤波 B、逆变 C、抗干扰 D、放大 17.S7-200PLC的每个模拟量模块,其信号的类型和范围(  )。 A、固定不变 B、需要订货时指出,一旦出厂就不能改变 C、用户可通过选择不同的接线端子、配置DIP开关等方法改变信号的性质和量程 D、

文档评论(0)

0520 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档