PLD课程设计报告-- 交通灯控制器设计.doc

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
PLD课程设计报告-- 交通灯控制器设计

洛 阳 理 工 学 院 课 程 设 计 报 告 课程名称 PLD原理与应用 设计题目 交通灯控制器设计 专 业 通信工程 班 级 学 号 姓 名 完成日期 2012.12.23 课 程 设 计 任 务 书 设计题目: 交通灯控制器设计 设计内容与要求: 通过采用VHDL 语言对交通灯控制器的设计,使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。绿灯亮时,准许车辆通行,但转弯的车辆不得妨碍被放行的直行车辆、行人通行,黄灯亮时,已越过停止线的车辆可以继续通行,红灯亮时,禁止车辆通行。 指导教师: 吕治国 2012 年 12 月 23 日 课 程 设 计 评 语 成绩: 指导教师:_______________ 年 月 日 设计背景 随着我国城市化建设的发展,越来越多的新兴城市的出现,使得城市的交通成为了一个主要的问题。同时随着我国经济的稳步发展,人民的生活水平日渐提高,越来越多的汽车进入寻常老百姓的家庭,再加上政府大力发展的公交、出租车,车辆越来越多了。这不仅要求道路要越来越宽阔,而且要求有新的交通管理模式的出台。旧有的交通控制系统的弊病和人们越来 越高的要求激化了矛盾,使原来不太突出的交通问题被提上了日程。现在有关部门愈来愈多的注重在交通管理中引进自动化、智能化技术,比如“电子警察”、自适应交通信号灯以及耗资巨大的交通指挥控制系统等。随着经济的发展和社会的进步,道路交通已愈来愈成为社会活动的重要组成部分。对交通的管控能力,也就从一个侧面体现了这个国家对整个社会的管理控制能力,因此各国都很重视用各种高科技手段来强化对交通的管控能力。 为了确保十字路口的行人和车辆顺利,畅通的通过,往往采用电子控制的交通信号来进行指挥。而利用PLD技术来设计交通灯来完成这个需求就显的更加迫切,同样也是非常的实用和合理。 二、设计目标 通过采用VHDL 语言对交通灯控制器的设计,使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。绿灯亮时,准许车辆通行,但转弯的车辆不得妨碍被放行的直行车辆、行人通行,黄灯亮时,已越过停止线的车辆可以继续通行,红灯亮时,禁止车辆通行。 三、设计原理 1.可编程逻辑器件概述 可编程逻辑器件(简称PLD)是允许用户编程(配置)实现所需逻辑功能的电路,一般可利用计算机辅助设计,即用原理图、状态机、硬件描述语言(VHDL)等方法来表 示设计思想,经过一系列编译或装换程序,生成相应的目标文件,再由编程器或下载电 缆将设计文件配置到目标器件中,这时的可编程逻辑器件就可作为满足用户需求的专用 集成电路使用了。可编程逻辑器件,不仅速度快、集成度高,并且能随心所欲地完成用户定义的逻辑 功能,还可以加密和重新编程,其编程次数最大可达1万次以上。使用可编程逻辑器件可以大大简化硬件系统、 降低成本、提高系统的可靠性、灵活性和保密性。PLD的出现,打破了由中小规模通用型集成电路和大规模专用集成电路垄断的局面,在通信、数据处理、网络、仪器、工业控制、军事和航空航天等众多领域得到广泛应用,可以预见,不 久的将来,PLD 将在集成电路市场占统治地位。 2.硬件描述语言介绍 常用的硬件描述语言有VHDL、Verilog、ABEL。VHDL:作为IEEE的工业标准硬件描述语言,在电子工程领域,已成为事实上的通用硬件描述语言。Verilog:支持的 EDA 工具较多,适用于RTL级和门电路级的描述,其综合过程较VHDL稍简单,但其在高级描述方面不如VHDL。ABEL:一种支持

文档评论(0)

zhuwenmeijiale + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:7065136142000003

1亿VIP精品文档

相关文档