计算机毕业论文控制器实验报告.doc

  1. 1、本文档共51页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
计算机学科实验基地 实验报告 实验类型:必修 √ 选修 实验日期:06 年 06 月 28日 实验名称:组合逻辑控制器逻辑设计 实验地点:实验基地 学生姓名: 指导教师: 班 级: 评阅教师: 同组学生: 计算机科学与工程学院制 实验报告内容: 实验目的 理解组合逻辑控制器的结构及功能,理解微命令信号和时序信号的产生,并学会如何采用VHDL语言对硬件逻辑进行描述并下载到FPGA芯片中,从而设计完成一个具有组合逻辑控制器功能的芯片。 实验方案与计划(对硬件和项目设计) 模块结构和功能设计 顶层模块的功能描述: 根据现行指令、控制台命令、时序系统等产生模型机所需微命令;控制整个CPU的运行。 输入:RST /*复位信号 CLK /*系统时钟; IR_CODE /*指令输入(16 位); 输出:READ_COM /*读存储器; WRITE_COM /*写存储器; CPR0_P /*寄存器R0的打入脉冲 CPR1_P /*寄存器R1的打入脉冲 CPR2_P /*寄存器R2的打入脉冲 CPR3_P /*寄存器R3的打入脉冲 CPC_P /*寄存器C的打入脉冲 CPD_P /*寄存器D的打入脉冲 CPPC_P /*寄存器PC的打入脉冲 CPSP_P /*寄存器SP的打入脉冲 CPMBR_P /*寄存器MBR的打入脉冲 CPMAR_P /*寄存器MAR的打入脉冲 CPPSW_P /*寄存器PSW的打入脉冲 SMBR /*寄存器MBR的置入端; SIR /*寄存器IR的置入端; EMAR /*寄存器MAR的使能端; SELA3 /*选择器A的选择控制(3位) SELB3 /*选择器B的选择控制(3位) CON_ALU6 /*ALU的功能控制(6位) SHIFT_REG2 /*移位器的控制(2位)—左移、右移、直传(DM); 组合逻辑控制器内部框图: 1、指令译码器 说明:由于译码后输出较多,所以按操作类型(IR15—IR12),源寄存器号(IR11—IR9),源寻址方式(IR8--IR6),目的寄存器号(IR5—IR3),目的寻址方式(IR2—IR0)分为五个译码器。 操作类型译码 U_OPER_DECOD 输入:IR15,IR14,IR13,IR12 输出:MOV,ADD,SUB,AND,OR,EOR,COM,NEG,INC,DEG,SL,SR,JMP_RST,JSR 功能描述表: IR15IR14IR13IR12 IR_OPER_TYPE 0000 MOV 0001 ADD 0010 SUB 0011 AND 0100 OR 0101 EOR 0110 COM 0111 NEG 1000 INC 1001 DEC 1010 SL 1011 RL 1100 JMP/RST 1101 JSR 源寄存器号译码 U_SREG_DECOD 输入:IR11,IR10,IR9 输出:S_R0 , S_R1 , S_R2 , S_R3 , S_SP , S_PSW , S_PC 功能: IR(11 DOWNTO 9) IR_SREG_TYPE 000 S_R0 001 S_R1 010 S_R2 011 S_R3 100 S_SP 101 S_PSW 110 -------------------- 111 S_PC (3)源寄存器寻址方式译码 U_SADDR_DECOD 输入:IR8,IR7,IR6 输出:S_ADDR_REG , S_ADDR_INDI , S_ADDR_DECR , S_ADDR_INCR , S_DOUB_INDI , S_ADDR_VARI , S_ADDR_SKP 功能: IR8IR7IR6 IR_SREG_ ADDR 000 R S_ADDR_REG 001 (R ) S_ADDR _INDI 010 --(R) S_ADDR _DECR 011 (R)+ S_ADDR _INCR 100 @(R)+ S_DOUB_INDI 101 X

文档评论(0)

li455504605 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档