多通道高速数据采集系统关键技术研究与实现.ppt

多通道高速数据采集系统关键技术研究与实现.ppt

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
多通道高速数据采集系统关键技术研究与实现

指导教师:刘亚斌 教授 学 生:张 欢 多通道高速数据采集系统关键 技术研究与实现 1 论文选题依据 2 论文选题意义 3 研究方案 4 关键技术 6 工作计划 5 预期达到的考核目标 1. 论文选题依据 随着计算机技术和微电子技术的飞速发展,仪器设计的总体趋势逐渐迈向效率化、高灵活性、模块化、功能化等特点。仪器仪表与计算机技术的结合也越来越受到测量人员的追捧和青睐。由于软件编程的灵活性和多样性,使得该类仪器具备了更强的分析处理能力和界面友好性。 本课题意在构建高速率、高精度的数字化仪,将仪器仪表技术与计算机技术进一步结合起来,并且主要对高速数字化仪应用软件需求分析和设计进行技术研究。数字化仪的整体软件可分为三个模块:设备驱动、动态链接库和应用程序。在应用程序方面,将会对软件的波形显示、功能控制、扩展功能进行设计和研究。 BACK 2. 论文选题意义 数字化仪能够将模拟信号数字化,通过对采集到的数字信息实现数字信号处理,详细分析输入信号的时域、频域特征,在各领域中有着非常广泛的应用前景。它利用A/D变换器把模拟信号转换成数字信号,然后存入内部存储器中,需要时将存储器中的内容调出,通过总线传送到PC机上进行处理、显示等。 数字化仪的开放性和模块化等特性,使得其具有再开发的能力,可以灵活的添加和修改模块的性能。这种再开发的能力,还反映在其应用软件上,数字化仪的一种硬件可以根据用途或特制要求,采用不同软件解决方案,实现各种需求的应用。 Text 1 Text 1 Text 1 Text 1 都具有观测被测信号波形的功能、波形处理功能、数据存储功能和多种触发等功能 共性 BACK 数字存储示波器(DSO),体积小,重量轻,便于携带,但是存储深度较浅,操作复杂,灵活性较差。 数字化仪有模块化、个性化、应用灵活,可以通过总线将数据高速传输到PC的内存中进行处理和分析。 优缺点 优点 3. 研究方案 研究方案 应用程序 应用接口 硬件概述 驱动程序 BACK 3.1 硬件概述 BACK PXI(PCI extensions for Instrumentation,面向仪器系统的PCI扩展)平台是基于成熟的PCI总线技术,随着PCI发展到PCI-Express,PCI Express技术也被引入到PXI的标准中,2005年,PXISA官方组织推出了新一代基于高速差分信号和交换式结构的PXI-Express的软硬件标准。具有如下特点: 数据吞吐量高 除了保持PXI现有的定时和同步功能,PXI-Express还提供了附加的定时和触发总线 PXI-Express系统提高了对仪器时钟信号的抗噪声能力,确保可靠同步和触发 3.2 PXI-Express总线 3.3 驱动开发平台 WDF是微软的新一代驱动程序开发模型,它包括一整套对驱动程序进行开发设计、发布、维护的部件,WDF支持面向对象的、基于事件驱动机制的驱动程序设计思想。WDF实现了一些驱动程序所必需的基本特性,为驱动程序提供缺省的处理,并管理与操作系统的交互,这使设计者能够集中精力在特定硬件设备的处理上,而不是操作系统自身,从而大大简化设计。WDF模型支持内核模式与用户模式两种驱动程序。 WDF框架具有以下几个主要优点: 系统兼容 基于对象的框架 框架管理所有对象的生命周期 框架对象极易操作,有安全保障 一套精心定义的PME(Property/Method/Event)编程接口 对WDM进行了完美封装,最大的突破在于实现了趋于完美的复杂的PNP与电源管理状态机(State Machine) 处理IO请求更简便 3.4 DMA高速传输 DMA的英文拼写是“Direct Memory Access”,即直接内存访问,是一种不经过CPU而直接从内存存取数据的数据交换模式。即完全由硬件执行IO交换的工作方式。DMA控制器从CPU完全接管对总线的控制,数据交换不经过CPU,而直接在内存和IO设备之间进行。普通模式下IO设备与内存之间的数据传输是由CPU来控制的;而在DMA模式下,CPU只需要DMA控制器下达指令,让DMA控制器来处理数据的传输,数据传送完毕再把信息反馈给CPU,这样就在很大程度上减轻了CPU资源占有率,大大节省了系统资源。 3.5 接口链路层 接口层是对驱动层各个模块的封装,是底层与上层进行通信的桥梁,它为应用层提供方便调用的应用接口(API)函数,这样用户无需访问源码或理解内部工作机制的细节,对底层下发命令、接收数据等操作,使得上层各个模块之间调用的耦合性降低、灵活性增强。 计算机将数据采集到内存后,需要对数据进行处理,如果处理速度不够快,将影响下一次数

文档评论(0)

hhuiws1482 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:5024214302000003

1亿VIP精品文档

相关文档