第 10 章NiosII嵌入式系统.pptVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
第 10 章NiosII嵌入式系统

现代计算机组成原理 潘 明 潘 松 编著 第 10 章 NiosII嵌入式系统 软硬件设计 10.3加入用户自定义组件设计 2、生成和编译 图10-60 NiosII组件生成运行完成 10.3加入用户自定义组件设计 2、生成和编译 图10-61 在更新的NiosII模块中加入端口信号 10.3加入用户自定义组件设计 2、生成和编译 图10-62 电机引脚原理图 10.3加入用户自定义组件设计 3、锁定FPGA控制电机的引脚 图10-63 建立一个空的软件工程project_pwm 4、建立软件工程 10.3加入用户自定义组件设计 图10-64 将2工作软件拖入Navigator栏的空工程中 4、建立软件工程 10.3加入用户自定义组件设计 图10-65 观察C/C++ Projects栏中被加入的源程序pwm_motor.c 4、建立软件工程 10.3加入用户自定义组件设计 5、运行和调试软件 图10-66 编译并全速运行该程序 10.3加入用户自定义组件设计 5、运行和调试软件 图10-67 软件对电机运行和操作过程 10.3加入用户自定义组件设计 6、加入电机测速电路 图10-68 将频率计VHDL顶层文件生成一个原理图元件 10.3加入用户自定义组件设计 6、加入电机测速电路 图10-69 频率计FREQTEST的RTL 10.3加入用户自定义组件设计 6、加入电机测速电路 图10-70 向主系统原理图调入频率计原理图元件 10.3加入用户自定义组件设计 6、加入电机测速电路 图10-71 NiosII系统完整的原理图 10.3加入用户自定义组件设计 6、加入电机测速电路 图10-72 NiosII系统电机控制与转速测定/显示电路 10.3加入用户自定义组件设计 7、运行软件 首先将此电路系统从新全程编译一次,下载后,再将以上的软件程序下载运行,观察转速控制和变化情况;同时了解电机旋转方向的控制情况。 10.4加入用户自定义指令设计 【例10-2】 mult.VHD library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; Entity mult is Port( dataa : in std_logic_vector(31 downto 0); datab : in std_logic_vector(31 downto 0); result : out std_logic_vector(31 downto 0); reset : in std_logic:=0; start : in std_logic:=0; clk_en : in std_logic:=0; clk : in std_logic ); end mult; architecture one of mult is signal rt : std_logic_vector(31 downto 0); begin rt = dataa(15 downto 0) * datab(15 downto 0) ; result = rt ; end ; 10.4加入用户自定义指令设计 图10-75 乘法指令VHDL程序 10.4加入用户自定义指令设计 图10-76 打开CPU的自定制指令对话框 10.4加入用户自定义指令设计 图10-77 加入已设计好的乘法指令VHDL程序 10.4加入用户自定义指令设计 图10-78 读入程序的端口信号 10.4加入用户自定义指令设计 图10-79 已加入一条名为mult的乘法指令 10.4加入用户自定义指令设计 【例10-3】 #include system.h int main (void) { int x,y,z,f ; xr=569; yr=1923;; x=xr; y=yr; printf(\n%08x %08x \n,x,y); z=ALT_CI_MULT(x,y); //使用ALT_CI_MULT(x,y)调用了乘法自定制指令,注意指令//要大写 printf(\n%08x %08x %08x\n,x,y,z); } 10.4加入用户自定义指令设计 图10-80 自定制指令C工程:ci_project 10.4加入用户自定义指令设计 图10-81 自定制指令mult执行结果 10.2 NiosII软件设计与运

文档评论(0)

ligennv1314 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档