VHDL报告.docVIP

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
VHDL报告

《EDA上机报告》 学院: 班级: 姓名: 学号: 2012年11月3日 试验一: 1.一位半加器(VHDL) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY half_add1 is PORT( A,B:IN STD_LOGIC; C,S:OUT STD_LOGIC ); END ENTITY HALF_ADD1; ARCHITECTURE ARCH OF HALF_ADDER1 IS begin S=A xor B; C=A and B; end arch; 2.半加器(原理图) 3.全加器(原理图) 4.全加器(例化语句) library ieee; use ieee.std_logic_1164.all; entity full_adder2 is port( A,B,Cin:in std_logic; Sum,Cout:out std_logic ); end full_adder2; architecture arch of full_adder is component half_adder1 port( A,B:in std_logic; S,C:out std_logic ); end component; component or22 port( A,B:in std_logic; S,C:out std_logic ); end component; signal x:std_logic_vector(0 to 2); begin ul:half_adder1 port map(A,B,X(0),x(1)); u2:half_adder1 port map(X(0),Cin,Sum,x(2)); u3:or22 port map(x(1),x(2),cout); end arch; 或门 library ieee; use ieee.std_logic_1164.all; entity or22 is port( A,B:in std_logic; C:out std_logic ); end or22; architecture arch of or22 is begin C=A or B; end arch; 实验二:四选一 1.IF….THEN….语句 library ieee; use ieee.std_logic_1164.all; entity Mux4_11 is port (A,B,C,D:in std_logic_vector(3 downto 0); Sel:in std_logic_vector(1 downto 0); Y:out std_logic_vector(3 downto 0) ); end Mux4_11; architecture arch of Mux4_11 is begin process (A,B,C,D,Sel) begin if (Sel=00) then Y = A; elsif (Sel=01) then Y = B; elsif (Sel=10) then Y = C; elsif (Sel=11) then Y = D; else NULL; end if; end process; end arch; 2.CASE….WHEN……语句 library ieee; use ieee.std_logic_1164.all; entity Mux4_12 is port (A,B,C,D:in std_logic_vector(3 downto 0); Sel:in std_logic_vector(1 downto 0); Y:out std_logic_vector(3 downto 0) ); end Mux4_12; architecture arch of Mux4_12 is begin process (A,B,C,D,Sel) begin case Sel is when 00 = Y = A; when 01 = Y

文档评论(0)

asd522513656 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档