第6章 FPGA仿真工具推荐.ppt

  1. 1、本文档共101页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第6章 FPGA仿真工具推荐

vlib work vmap work work vlog cntr_rtl.v vsim work.cntr_rtl add wave ld add wave rst add wave clk add wave d add wave q force -freeze clk 0 0, 1 {50 ns} -r 100 force rst 1 force rst 0 10 force ld 0 force d 1010 run 1700 force ld 1 run 100 force ld 0 run 400 force rst 1 run 200 force rst 0 10 run 1500 DO 文件的例子,在这个 DO 文件中首先建立了一个工作库并映射它,编译设计源文件,加载设计,添加一些信号到波形窗口中,然后提供了测试激励。 * 7.6.2 WLF文件 波形日志格式文件(WLF,Wave Log Format)。 WLF文件提供了一组仿真的数据,在这个数据集中记录了指定层次中信号、变量等的仿真数据,可以在仿真结束后使用这个文件对仿真过程进行精确回放,同时可以使用这个文件与正在进行的仿真数据进行对比,得到不同仿真波形的时序差异。 * 7.6.3 波形比较 ModelSim工具提供了波形比较的功能,使用这个功能可以将当前正在进行的仿真与一个参考数据结合(WLF 文件)进行比较,比较的结果可以在波形窗口或者列表窗口中查看,也可以将比较的结果生成一个文本文件。 * 7.6.4 SDF文件 SDF 时序标注(Standard Delay Format Timing Annotation) 在Xilinx公司的FPGA/CPLD设计中使用“.sdf”作为时序标注文件的扩展名,而在 Altera 公司的FPGA设计中使用“.sdo”作为时序标注文件的扩展名。 在SDF标注文件中对每一个底层逻辑门提供了 3 种不同的延时值,分别是典型延时值、最小延时值和最大延时值。 * 7.6.5 VCD文件 VCD 文件是在 IEEE 1364 标准中定义的一种 ASCII 文件,在这个文件中包含了头信息、变量的预定义和变量值的变化等信息。 在 Verilog 语言中支持 VCD 的系统任务,并可以通过在 Verilog 源代码中使用 VCD 系统任务来生成 VCD 文件。 * 7.7 本章小结 主要介绍了ModelSim仿真工具的使用,详细说明了仿真工具的各个窗口用途以及使用方法。 使用ModelSim仿真工具完成各种HDL语言的仿真。 ModelSim在ISE集成环境中的使用方法。 * 课后问题与作业 1. 为什么在进行仿真以前需要对厂家的仿真库进行预编译? 2. 波形比较的过程是什么?波形比较共有几种类型?可以使用哪些窗口查看波形比较的结果? 3. 什么是时序标注文件?它在仿真过程的地位是什么?怎样才是真正的时序仿真? * * 4. 单击 按钮,打开新建工程对话框的下一个页面。 * 5. 单击“OK”按钮后,出现新建工程内容报告对话框。 6. 在工程内容报告对话框中单击“完成”按钮完成设置,回到ISE主界面,从源文件视图中选择源文件。 * 7. 在 ModelSim 仿真器下面有 4 个不同的仿真选项,对应4 个不同的仿真阶段,行为仿真、翻译后仿真、映射后仿真和布局布线后仿真。 * 7.5 ModelSim中的调试方法 7.5.1 源文件窗口调试 源文件窗口主要用来显示和编辑 HDL 源文件代码。 在源文件窗口中可以设置断点调试,同时支持单步调试等。 * 7.5.2 波形窗口调试 1. 启动 ModelSim,并改变当前目录到设计目录。 2. 加载设计单元进行仿真。 3. 向波形窗口中添加项目。 从信号窗口添加。 从其他窗口选中并拖动。可以从主窗口、信号窗口、变量窗口或结构窗口等多个窗中中选择需要查看的 项目并拖动到波形窗口中。 使用命令行添加项目。在主窗口命令控制台【VSIM】命令提示符后键入 add wave *命令将加入当 前区域内的所有信号。 * 4. 缩放波形显示。 在波形窗口的工具栏中有很多用来进行显示缩放的快捷按钮,通过这些按钮可以很方便地控制波形的显示大小。 * 5. 在波形窗口中使用指针。 * 光标重命名 * 光标锁定对话框以及锁定后的光标 * 6. 保存波形窗口格式。 可以通过存储窗口格式的方法将信号设置等属性存储成一个 DO 文件,下次打开波形窗口时加载 DO 文件就可以了。 * 7.5.3 数据流窗口调试 1. 启动仿真器并改变目录到设计目录。 2. 在命令提示符后键入 do run.do 命

文档评论(0)

beoes + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档