verilog实现除法.doc

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
verilog实现除法

module sque_divider(a,b,c,d,done,clk,reset,load,error); input [7:0]a,b; input load; input clk,reset; output [7:0]c,d; output done,error; reg[7:0] c,d; reg[7:0] a1,b1; reg[7:0]c1,d1; reg done,error; always @(posedge clk or posedge reset) if(reset) begin done=0; error=0; end else if(load) begin a1=a; d1=0; b1=b; done=0; error=0; end else if (b1==0)//(b==0) begin error=1; end else if((a1b)(!error)) begin c1=a1; done=1; end else if((!done)(!error)) begin d1=d1+1; a1=a1-b; end always @(posedge clk or posedge reset) if(reset) begin c=0; d=0; end else if(done) begin c=c1; d=d1; end endmodule timescale 1ns/10ps module test_sque_divider; reg [7:0]a,b; reg clk,reset,load; wire [7:0]c,d; wire done,error; initial begin clk=0; reset=0; load=0; #5 reset=1; #5 reset=0; #5 a=50; b=23; #3 load=1; #15 load=0; #50 a=150; b=13; #5 load=1; #15 load=0; #150 a=150; b=0; #15 load=1; #15 load=0; #50 a=150; b=110; #5 load=1; #15 load=0; end always #3 clk=!clk; sque_divider m1(.a(a),.b(b),.c(c),.d(d),.done(done),.clk(clk),.reset(reset),.load(load),.error(error)); endmodule

您可能关注的文档

文档评论(0)

qwd513620855 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档