电子技术课程设计-通信号灯控制电路的设计汇.doc

电子技术课程设计-通信号灯控制电路的设计汇.doc

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子技术课程设计-通信号灯控制电路的设计汇

电子技术课程设计 -------交通信号灯控制电路的设计 学院:机电与汽车工程学校 专业:机械电子工程一班 学号 姓名: 设计任务及主要技术指标和要求设计任务设计要求 有这样一个十字交叉路口如图1所示。每个路口都设有交通信号灯,红灯表示禁止通行;绿灯表示可以通行;红绿灯交替时,黄灯表示已越过停车线的车辆继续通行,而停车线内的车辆停止运行。B车道车辆多而A车道车辆少,所以B经常通行而A有车才通行,A、B都有车时按照规定的时间交替通行。( B干道为主干道,A干道为支干道) 图 1 路口车辆运行情况有以下四种: a: B车道通行,A车道不通行。 b: B车道停车,A车道不通行。 c: B车道不通行,A车道通行。 d: B车道不通行,A车道停车。 这就是求主控制电路也有四种状态: 首先,假设B车道通行,有车辆过来,这时“B绿灯”和“A红灯”亮,;此时A车道来车,B车道无车,传感器发出信号,使A灯黄灯而B灯红灯,黄灯延时后,计时器发出信号使B灯亮红灯而A亮绿灯,这时A车道的车可以通行了;A车道无车后,传感器又发出信号让A亮黄灯,B灯亮红灯,之后重复上述内容。若两车道同时有车时,通过传感器和计时器发出的信号不断切换,以保证车道运行通畅。 当只要有一条道没车时,计数器不计数,只亮灯。 设计方案方框图 根据交通信号灯的工作原理可知,本设计由传感器、译码驱动电路、主控制器、计时器、时钟信号组成,具体的框图如下图2所示。 图 2 1:计时器 主要是完成30秒、20秒、5秒的计时任务,向主控制器发出相应的定时信号,来控制A、B干道通车时间以及红黄绿灯的时间转化。 2:传感器 监测A、B干道是否有车,如果有车就向主控制器发出信号。 3:时钟信号发生器 产生稳定的秒脉冲信号,即CP信号,确保整个电路装置同步工作并实现定时控制。 4:主控制器 主要是根据传感器和计时器送来的信号,保持或改变电路的状态,以实现对A、B干道的车辆运行状态的控制。 5:译码驱动电路 按照主控制器的状态进行译码,在驱动红黄绿灯进行转变,指挥路上的车辆和行人。 由上,将图2框图进一步的变化,使之更明确,详细,即下图3 图 3 二、主控制电路的设计 A、B干道都没有红黄绿灯,所以它们在正常情况下工作是发亮的灯只有以下四种可能:( B干道为主干道,A干道为支干道) ①:B干道通行——B绿灯A红灯 ②:B干道停车——B黄灯A红灯 ③:A干道通行——B红灯A绿灯 ④:A干道停车——B红灯A黄灯 A: 状态转换图 根据上面所述四种可能,可画出下图4的状态转 图 4 假设:B道有车表示为:A=1,无车:A=0 A道有车表示为:B=1,无车:B=0 B道有车过30秒为:L=1 未过30秒为:L=0 B道有车过20秒为:S=1 未过20秒为:S=0 黄灯亮过5秒表示为:P=1 未过5秒:P=0 B干道通行状态表示为:S0 B干道停车状态表示为:S1 A干道通行状态表示为:S2 A干道停车状态表示为:S3 由上述可将图4转化为图5: 图5 B: 选择触发器的数目并进行状态分配: 由上可得状态数目为四,则N=四,选n个触发器须满足以下关系 : 2n≥N=4 所以:n=2 选J、K触发器,两个触发器的状态输出为:Q1Q2 可令:S0=00,S1=01,S2=11,S3=10 C: 状态转换表; A B L S P Q2n Q1n Q2n+1 Q1n+1 × 0 × ? ? 0 0 0 0 1 1 0 ? ? 0 0 0 0 0 1 ? ? ? ? 0 0 0 1 1 1 1 ? ? 0 0 0 1 ? ? ? ? 0 ? 0 1 0 1 ? ? ? ? 1? 0 1 1 1 0 1 ? ? ? ? 1 1 1 1 1 1 ? 0 ? 1 1 1 1 ? 0 ? ? ? 1 1 1

您可能关注的文档

文档评论(0)

liwenhua00 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档