多路信号复用的带系统的建模与设计.doc

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
多路信号复用的带系统的建模与设计

多路信号复用的基带系统的建模与设计 摘要:在通信系统中,为了提高信道的利用率,采用多路复用技术能把多个信号组合起来在一条物理信道上进行传输,在远距离传输时可大大节省电缆的安装和维护费用,在数字通信系统中主要采用时分多路复用(TDM)方式。并将复用信号进行HDB3码转换以利于在信道中传输。 关键词:时分复用;模型;原理;模块建模 前言 在数字通信中,为了扩大传输容量和提高传输效率,通常需要将若干个低速数字码流按一定格式合并成一个高速数据码流,以便在高速宽带信道中传输。数字复接就是依据时分复用基本原理完成数码合并的一种技术,并且是数字通信中的一项基础技术。当今社会是数字话的社会,数字集成电路应用广泛。而在以往的PDH 复接电路中,系统的许多部分采用的是模拟电路,依次有很大的局限性。随着微电子技术的发展,出现了现场可编辑逻辑器件(PLD),其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。本文就是用硬件描述语言等软件与技术来实现一个基于CPLD/FPGA 的简单数字同步复接系统的设计。在通信系统中,为了提高信道的利用率,使多路信号在同一条信道上传输时互相不产生干扰的方式叫做多路复用。在数字通信系统中主要采用时分多路复用(TDM)方式,把时间划分为若干时隙,让多路数字信号的每一路占用不同的时隙,即多路信号在不同的时间内被传送,各路信号在时域中互不重叠。 多路信号复用的基带系统的设计 时分多路复用(TDM)是按传输信号的时间进行分割的,它使不同的信号在不同的时间内传送,将整个传输时间分为许多时间间隔,每个时间片被一路信号占用。TDM就是通过在时间上交叉发送每一路信号的一部分来实现一条电路传送多路信号的。电路上的每一短暂时刻只有一路信号存在。因数字信号是有限个离散值,所以TDM技术广泛应用于数字通信系统。 多路信号复用的基带系统中的发信设备由数字信源与复接器、码型变换器等组成,其中数字信号包括晶振、分频和内部基带码产生等;系统的接收设备由码型逆变换与时钟提取电路、帧同步信号提取、数字终端与分接器等。 图1 多路复用数字基带传输系统组成框图 当前,根据国际电报电话咨询委员会(CCITT)建议,目前TDM采用两种标准系列:一种是欧洲和我们国家所采用的30路系列,即由32个话路组成一个PCM基群,如图2所示;另一种是北美和日本等国所采用的24路体系,即由24个话路组成一个PCM基群。 图2.PCM30/ 32 路基群系统的帧结构 在PCM30/32系统中,抽样频率为8kHz,抽样周期Ts=1/8000=125us,被称为一个帧周期。每个抽样值用8比特表示,所占用的时间tc=125/32=3.9us,被称为一个路时隙。每个比特所占用的时间为tb=3.9/8=0.488us,总码速率为fb=1/0.488=2048kb/s。图1.3给出了PCM30/ 32 路(基群)路制式帧结构,从图中可以看出1 个复帧中有16 个子帧( 编号为F0,F1,… ,F15) ,其中F0,F2,,F14 为偶帧,F1,F3,… ,F15 为奇帧,一帧分为32个路时隙,分别用TS0~ TS31 表示,其中TS0 作为帧同步时隙,用来传送帧同步码组和帧失步对告码,TS16 用来传送复帧同步信号,复帧失步对告及各路信道信号,另外30 路时隙用来传送30 路话音信号,每个时隙可以插入8 位二进制信息码( 即每时隙含8 b 信息码,由PCM 编码器完成),以上的帧构成PCM30/ 32 路基群系统。 图3.PCM30/ 32 路(基群)路制式帧结构 时分多路复用信号的产生模型 多路信号复用的模型它包括了分频器、内码产生器、时序信号发生器及复用输出电路等功能模块。晶振输出信号送给分频器分频后得到低频信号作为内码产生器的时钟信号;每个内码产生器用于产生8位数据码且为串行输出,作为内部分路数据信号,其串行数据码输出受到时序信号的控制;时序发生器的功能是产生四路宽度为8位数据码宽度的时序信号,每路时序信号的相对相位延迟按规定顺序为8位数据码宽度:输出电路的功能是将四路分路码组合成一路完整的复用信号。 图4.四路复用器的VHDL建模框图 2.1.各功能模块的VHDL建模与程序设计 1.分频器:分频器实际是一个4位二进制计数器,其作用是将晶体振荡电路产生的方波信号进行分频。 图5.分频器模型 图中A表示16分频输出,B表示8分频输出,C表示4分频输出,D表示2分频输出 图6.分频器时序仿真图 2.时序发生器:时序发生器用于产生四路宽度为8位数据码宽度的时序信号。具体实现是将

文档评论(0)

liwenhua11 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档