基于FPGA诱饵时序控制设计.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于FPGA诱饵时序控制设计

基于FPGA诱饵时序控制设计   摘要:文章介绍了诱饵时序控制的重要性,给出了利用Altera公司可编程逻辑器件实现诱饵时序控制的工作原理、设计思路、电路结构和仿真结果。结果表明,基于FPGA的电路设计实际可行。      1. 前言      反幅射导弹(ARM,Anti-Radiation Missile)是雷达的天敌。诱饵的功能就是实现对ARM的诱偏和误导,使ARM偏离正确的攻击路线。本文涉及的诱饵时序控制的基本思想就是控制诱饵三个子站发射机真实模拟被保护雷达发射电磁波的时序关系,使子站三束电磁波在空中叠加后与被保护雷达的电磁波同时到达ARM前端接收天线,这样ARM就无法分辨被保护雷达的具体位置,而误把诱饵当作攻击目标,因此时序控制的灵活实现显得尤为重要。    现场可编程逻辑器件(FPGA,Field Programmable Gates Array)是在PAL(Programmable Array Logic)、GAL(Generic Array Logic)等逻辑器件的基础上发展起来的。相比之下,FPGA的规模较大,不仅没有掩模成本,而且易于修改设计。经验表明,FPGA适宜于复杂时序设计、组合逻辑的应用;具有开发周期短、制造成本低、开发工具先进、标准产品无需测试、质量稳定,以及可实时在线检测等优点。      2. 产生诱饵时序的工作原理       图1是推导诱饵时序的示意图,设以三个子站(正三角形排列)的几何中心为球心如图建立球面坐标。告警雷达送导弹的参数给诱饵主站,求得导弹的球面坐标为(r,θ,ψ)。    根据图1可以推导出三个子站相对导弹的波程差(相对于子站1而言)为:       其中:r是球心距离导弹的距离;    L是三个子站之间的布阵边长;       求出这两个波程差后,即可求得发射机三束电磁波对应在时间上的差值:       其中C代表光速(C=3.0×108米/秒);t21和t31有可能为正,也有可能为负,把最靠前的那个调制波门定为τ触发。    图2是根据上述数学推导得到的诱饵时序图:   其中:Fr是被保护雷达的导前,由被保护雷达送给诱饵;    Tτ1是调制波门1的起始时间;Tτ2是调制波门2的起始时间;    Ta1是调制波门1的宽度,Ta2为调制波门2的宽度,由雷达的脉宽决定;    t1、t2和t3是根据导弹参数计算出的波门调节时间长度(其中最靠前的时间差为零)。      3. FPGA的设计实现         在诱饵时序的推导公式和时序图的基础上,图3给出了诱饵时序产生的原理框图。   在具体设计该时序时,考虑到该时序比较复杂,又考虑到模块化设计的指导思想,作者使用了可编程器件EPLD(EPF10K50RC240-3),该器件的优点是可以在线编程,调试起来非常方便。由于在该时序设计时大量使用了计时器(对应在EPLD内部为计数器),作者使用了VHDL语言进行设计。以产生子站1的调制波门的程序为例:   libraryieee;   useieee.std_logic_1164.all;   entitydecoy1is    port (Fr , clk : in std_logic;    tao1,tao2,t1,ta1,ta2 :instd_logic_vector(15 downto0);    --tao1是τ1距Fr触发的时间;    --tao2是τ2距Fr触发的时间;    --t1是调制波门前沿距τ触发的时间;    --ta1是调制波门1的宽度;    --ta2是调制波门2的宽度;    wavegate : out std_logic);   enddecoy1;   architecturertlofdecoy1is   signalcount , tmp : std_logic_vector(15downto0);   begin   process(Fr ,clk)   begin   tmp1 = tao1+t1 ;   tmp2 = tmp1 + ta1 ;   tmp3 = tao2+t1 ;   tmp4 =tmp3+ta2 ;   if ( Fr =0)then   count = X 0000 ;   elsif(clkeventandclk=1)then   if ( count = tmp1 )then   wavegate = 1 ;   count =count+1;   elsif ( count = tmp2 )then   wavegate 0;   count =count+1;   elsif

文档评论(0)

bokegood + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档