实物制作课程设计报告.doc

  1. 1、本文档共22页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实物制作课程设计报告

上海工程技术大学课程设计报告 四路智能抢答器 PAGE PAGE 2 课程设计报告 课程设计名称: 四路智能抢答器 专 业 班 级 : 学 生 姓 名 : 学 号 : 指 导 教 师 : 课程设计时间: 四路智能抢答器 一.设计任务及要求 四组参赛者在进行抢答时,当抢答者按下面前的按钮时,抢答器都能准确的判断出抢先者,并显示相应的组号。 抢答器具有互锁功能,某组抢答后能自动封锁其它各组进行抢答。 形同应具有一个总复位开关。 安装自己的设计电路。 通电调试。 提高部分 抢答器应具有限时功能,抢答时限为10秒。 二.设计方案 1.原理图设计 2.芯片及元件 74LS175,74LS148,74LS48,74LS160,555定时器,74LS00,74LS20,74LS02,74LS04,二极管,0.01uf 10uf的电容,8k和50k的电阻,蜂鸣器,Led,数码管,开关 三.模块设计与参数计算 根据要求,我们设计的抢答器的模块结构框图如下所示: 抢答按钮 抢答按钮 触发器 锁定电路 译码电路 主持人控制开关 控制电路 报警电路 秒脉冲产生电路 定时电路 译码电路 显示电路 显示电路 2.四组智能抢答器的设计 1).cp脉冲信号发生器 555定时器是一种将模拟电路和数字电路集成于一体的电子器件。用它可以构成单稳态触发器、多谐振荡器和施密特触发器等多种电路 2).抢答模块的设计电路 如图所示。该电路完成两个功能一是分辨出选手按键的先后并锁定74LS175的功能真值表即优先抢答者的编号,同时译码显示电路显示选手编号;二是要使其他选手随后的按键操作无效。 其工作原理为:由于主持人控制的开关是复位开关,当主持人未按开关时,允许编码端为高电平,允许编码端无效。但由于没有脉冲故选手依然不能抢答。当主持人按下复位开关一瞬间优先编码器(74ls148)允许编码端为低电平,使优先编码器被强制清零,同时有脉冲进入D触发器74LS175的9号引脚,选手可以进行抢答。一旦有选手抢答则对应的灯会亮,同时蜂鸣器也会响,还有通过时序控制电路的脉冲会消失,选手不能继续抢答。直到主持人重新按下“开始”开关优先编码器允许编码同时又有抢答脉冲进来,选手可以进行第二轮抢答。 3).显示电路模块 这一模块,主要由优先编码器(74ls148)和译码器(74ls48)以及共阴数码管,主要可以实现两个功能:当有选手按下抢答键时,显示对应的选手的组号,还有就是由主持人控制的复位开关,有优先编码器的5号引脚引出,主要是利用了优先编码器在低电平时可以编码,高电平无效,然后进行复位。实现下一轮的抢答。 4).1HZcp信号发生电路 5).倒计时模块 74LS160是中规模集成同步十进制加法计数器,具有异步清零和同步预置数的功能。使用74LS160通过置零法或置数法可以实现任意进制的计数器。 6).蜂鸣电路 3.四路智能抢答器原理图 4.芯片及元件的选择 74LS175 74LS175的内部结构,和管脚图和其功能表如下 图2.1(1)74SL175内部结构和管脚图 其中RD 复位(清0端)接地,D1,D2,D3,D4分别是输入端,Q1,Q2,Q3,Q4.分别位输出端。CP是脉冲输入端。 图2.1(2)74LS175的功能表 L 代表低电平,H代表高电平 74LS148 74LS148引脚图910111213141516 9 10 11 12 13 14 15 16 74LS148 8-3线优先编码器 74LS148 8-3线优先编码器 GND 8 7 6 5 4 3 2 1 图2. 2 74LS148的符号图和管脚图 74LS148芯片的功能表 输入 输出 I0 I1 I2 I3 I4 I5 I6 I7 2 1 0 1 X X X X X X X X 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 X X X X X X X 0 0 0 0 0 1 0 X X X X

文档评论(0)

shenlan118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档