电气EDA课程设计 基于VHDL电子秒表设计.docVIP

电气EDA课程设计 基于VHDL电子秒表设计.doc

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA技术及应用课程设计任务书 一、设计题目 基于VHDL的电子秒表的设计 二、设计主要内容 设计一个电子秒表,给定时钟信号为256HZ,要求系统达到以下功能: (1)用6个数码管分别显示时、分、秒, 计时范围为:00: 00: 00~ 23: 59:59。 (2)计时精度是1s。 (3)具有启/ 停开关, 复位开关, 可以在任何情况下使用。 三、原始资料 电子秒表的基本工作原理就是不断输出连续脉冲给加法计数器,加法计数器通过译码器来显示它所记忆的脉冲周期个数。 系统总体框图 根据系统设计要求, 系统的底层设计主要由六十进制计数器模块、二十四进制计数器模块、分频模块、LED显示模块组成。系统顶层设计图如图1所示 图1 系统顶层设计图 图1中左边为三个输入信号en,clk,reset,分别为启动/停止开关,时钟信号和复位开关。中间从上至下依次为count24,count60,count60,fenpinqi。右边是clock1和输出信号wei[3..0], led[6.0]。 2、模块功能设计 本系统由六十进制计数器模块、二十四进制计数器模块、分频模块执行计时功能, 输入信号是256 Hz,通过分频后为1hz,时钟信号是1 Hz 作为秒表的秒输入, 秒为60 进制计数器, 分也为60 进制计数器, 小时采用二十四进制计数器, 各级进位作为高位的使能控制。 (1)六十进制计数器模块 设计一个八位的六十进制计数器模块,输入信号为en、reset、clk,分别为使能、复位和时钟信号,输出信号为qa[3…0]、qb[3…0]、rco,分别为低4位输出、高4位输出和进位位。 图2 六十进制计数器示意图 (2)二十四进制计数器模块 设计一个八位的二十四进制计数器模块,输入信号为en、reset、clk,分别为使能、复位和时钟信号,输出信号为qa[3…0]、qb[3…0],分别为低4位输出、高4位输出。 图3 二十四进制计数器示意图 (3)分频器模块 设计一个分频器,要求将输入256HZ的时钟信号分频为1HZ的时钟信号作为秒表的秒输入。输入信号为clk和rst,分别为时钟信号和复位信号,输出信号为clk_out,为分频器1HZ的时钟信号输出。 图4 分频器示意图 (4)LED显示模块 LED有着显示亮度高,响应速度快的特点,最常用的是七段式LED显示器,又称数码管。七段LED显示器内部由七个条形发光二极管和一个小圆点发光二极管组成,根据各管的亮暗组合成字符。 LED数码管的g~a七个发光二极管因加正电压而发亮,因加零电压而不能发亮,不同亮暗的组合就能形成不同的字形,这种组合称之为字形码(段码),如显示”0”,字形码为3fh。 图5 LED数码管结构图 数码管的接口有静态接口和动态接口。动态接口采用各数码管循环轮流显示的方法,当循环显示频率较高时,利用人眼的暂留特性 ,看不出闪烁显示现象,这种显示需要一个接口完成字形码的输出(字形选择),另一接口完 成各数码管的轮流点亮(数位选择)。 将二十四进制计数器和2个六十进制计数器的输出作为LEDA显示模块的输入,在时钟信号的控制下通过此模块完成6个LED数码管的显示,输出信号为WEI[2…0]和LED[6…0],分别为位选信号和段码输出。 图6 LED显示示意图 四、要求的设计成果 答辩时需调通各个模块的程序;各部分模块完成后, 用Quartus 对程序编译、仿真;得出系统仿真波形,包括各模块仿真波形及顶层仿真波形。 课程设计报告中需完成系统的设计要求,软件部分需要给出具体的代码,调试的部分要求撰写心得体会。 五、进程安排 序号 课程设计内容 学时分配 集中学生学习课程设计关键理论、明确设计任务及设计要求、指导学生分组、安排设计进度 0.5天 2 查阅、收集资料,拟定控制方案 0.5天 3 计数器程序编写及仿真调试 0.5天 4 分频器程序编写及仿真调试 0.5天 5 系统整体仿真调试 0.5天 6 按规范要求撰写课程设计说明书 2天 7 提交设计作品及设计说明书、答辩 0.5天 合计 5天 六、主要参考资料 [1] 杨晖.大规模可编程逻辑器件与数字系统设计.北京:北京航空航天大学出版社,2010. [2] 任爱锋.基于FPGA的嵌入式系统设计.西安: 西安电子科技大学出版社,2011. [3] 杨恒.FPGA/CPLD最新实用技术指南.北京:清华大学出版社,2010. [4] 王锁萍.电子设计自动化(EDA)教程.成都:电子科技大学出版社,2009. [5] 路而红.电子设计自动化应用技术.北京:北京希望电子出版社,2009. [6] 潘松.VHDL实用教程. 成都:电子科技大学出版社,2010. 指导教师(签名):

文档评论(0)

celkhn5460 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档