- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
译码器电路的设计 桂林师范高等专科学校 羊日飞 译码器(decoder) 译码器是一种多输入多输出的组合逻辑电路 功能: 将二进制的代码,比如:“0001”、“10”、“111”等,翻译为输出信号,是编码的逆过程。 举例:在CPU中,指令译码器是重要的组成部分。 3-8线译码器: 将3位二进制代码,如:“111”、“101”、等,翻译转换为8路输出的逻辑电路,不同的输入码对应不同的8路输出状态。 3-8线译码器集成电路74HC138 3-8线译码器的 行为/功能描述 有3个输入端口(A0,A1,A2),有8个输出端口(Y0,Y1,Y2,Y3,Y4,Y5,Y6,Y7)。 有8种输出状态。(哪8种?) 根据输入的二进制码决定输出,二进制码与输出有一一对应的关系。 3-8线译码器的VHDL代码编写——库引用 引用IEEE库 引用IEEE库中的std_logic_1164程序包 3-8线译码器的VHDL代码编写——实体 实体名: decoder38 3个输入端口名:A0、A1、A2 8个输出端口:(Y0~Y7) 3-8线译码器的VHDL代码编写——结构体 3-8线译码器的VHDL代码编写——结构体(相关语法) 信号的赋值 是VHDL语言定义的三种数据对象的一种。(信号、变量、常量) 是数据连接和通信的方式。(实体的端口也是一种信号) 信号的定义: signal 信号名: 信号类型; 3-8线译码器的VHDL代码编写——结构体(相关语法) 信号的赋值 信号名=表达式; 3-8线译码器的VHDL代码编写——结构体(相关语法) 连接操作符“” 可以将同类型的信号组合起来,构造一个数组。 例如: 3-8线译码器的VHDL代码编写——结构体(相关语法) 顺序代码 对实体的描述有两种方式:行为描述、结构描述 行为描述又有两种方法: (1)行为描述:顺序描述实体的行为。 (描述行为时往往具有顺序性) (2)数据流描述:以信号的方式描述电路内数据的流动。(硬连线) 因此,VHDL语言中有用于行为描述的顺序代码。 3-8线译码器的VHDL代码编写——结构体(相关语法) 进程 所有的顺序代码必须放在进程或子程序中 进程的语法格式: 进程中的语句只有在敏感信号表中的信号发生变化时才会激活 3-8线译码器的VHDL代码编写——结构体(相关语法) Case语句 3-8线译码器的VHDL代码编写——结构体(相关语法) Case语句的注意事项: Case语句是顺序代码,因此只能出现在进程中; Case语句的测试值必须是相互没有交集的,也就是说在同一时间不能有两个测试表达式的值为“真”。 Case语句的测试值必须包含控制表达式的所有可能的情况,如果不确定其他情况,则应该使用关键字“others”。 3-8线译码器的VHDL代码编写——结构体(相关语法) 进程的物理意义 一个进程(Process)对应一个电路模块。 结构体内部可以有多个进程。 各个进程之间是并行的,每个进程对应一个电路模块。 3-8线译码器的VHDL代码编写——结构体(相关语法) 进程的物理意义 进程电路模块的输入信号包括: CASE语句控制表达式中的信号; 电路模块的输出信号: 赋值语句左边的信号; 进程的敏感信号表如何写: 包括所有输入信号 3-8线译码器的VHDL代码编写——完整结构体部分的代码 3-8线译码器的VHDL代码——综合结果 3-8线译码器的VHDL代码——综合结果 * * 用自然语言描述出来 当A0、A1、A2等于“000”时,输出Y0~Y7等于; 当A0、A1、A2等于“001”时,输出Y0~Y7等于; 当A0、A1、A2等于“010”时,输出Y0~Y7等于; …… 当A0、A1、A2等于“111”时,输出Y0~Y7等于; library ieee; use ieee.std_logic_1164.all entity decoder38 is port( A0,A1,A2: in std_logic; Y: out std_logic_vector(7 downto 0); ); end decoder38; 逻辑关系紧密的信号应该用标准逻辑矢量类型 architecture decoder38_stru of decoder38 is begin end decoder38_stru; 复习 已学的有: 1、标准逻辑位(std_logic) 2、标准逻辑矢量(std_logic_vector) 复习 signal DATA:std_logic_vector(3 downto 0); signal A,B,C:
您可能关注的文档
最近下载
- 项目一 任务三 认识餐饮设备(课件)《餐饮服务与管理》(高教版第二版)同步课堂.pptx
- 16S122图集—水加热器选用及安装.pdf
- 第12课《短文二篇——记承天寺夜游》课件++2025-2026学年八年级语文统编版上册.pptx VIP
- 《中国心理学会临床咨询心理学工作伦理守则》考试题库及答案解析(共200题).docx VIP
- 《抗病毒药和抗寄生虫药》课件.ppt
- 翼科ES500系列专用伺服驱动器用户手册.pdf
- 高等职业技术学院辅导员工作手册.pdf VIP
- JBT 7361-2007 滚动轴承 零件硬度试验方法.docx VIP
- 小学生必背古诗75首80首!家长收藏必备,附带文档打印版.pdf VIP
- 入党积极分子培养考察表1.doc VIP
文档评论(0)