- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
 - 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
 - 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
 - 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
 - 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
 - 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
 - 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
 
                        查看更多
                        
                    
                
 PAGE     
 PAGE     2
                
      
               数字电路与逻辑设计实验报告
          
	     题目:双色点阵显示控制器的设计与实现
          班级:
               
          学号:
          姓名: 
1 实验要求
1.1基本要求:
1) 固定红色显示一个汉字或图形,显示亮度4级可调,用一个btn按钮实现亮度调节,亮度变化视觉效果要尽量明显。
2) 用从红到绿8级渐变色显示一个固定汉字或图形。
3) 分别用单字循环显示、左右滚动显示、上下滚动显示三种显示方式单色显示四个汉字或图形,显示过程中,显示方式用一个btn按键进行切换。
4) 显示的图形或汉字要尽量饱满美观。
1.2提高要求:
      1) 滚动显示过程中实现四种显示颜色的自动变换,颜色变化视觉效果要尽量明显。
      2) 自拟其它功能。
2系统设计
2.1设计思路
本实验采用自顶向下设计法:从整个系统功能出发,按一定原则将系统划分为若干子系统,再将每个子系统分为若干功能模块,然后实现所要求的的功能。总体是通过分频、按键控制、扫描控制等模块实现上述功能,通过占空比来改变色彩,实现八级渐变色,通过扫描频率的改变和信号的占空比实现亮度的调节,通过行扫描和列扫描的不同实现左右滚动显示和上下滚动显示。由于按键动作的时刻和按下的时间长短是随机的,并且存在由开关簧片反弹导致的电平抖动,所以还要为每个按键开关设置一个消抖和同步电路,这样才能保证按键的准确性。
2.2总体设计框图
总体结构框图
显示不同的文字或者图案选择汉字或图形	
显示不同的文字或者图案
选择汉字或图形
选择显示模式	
选择显示模式
选择亮度	
选择亮度
ASM图
开始
开始
选择图形或文字
选择图形或文字
循环显示?	no
循环显示?
	         yes
调亮显示?选择显示方式	
调亮显示?
选择显示方式
        yes
固定红色调节亮度case1?  no 	yes
固定红色
调节亮度
case1?
从红到绿八级变色	no
从红到绿八级变色
case2?		
case2?
左右滚动显示
左右滚动显示
csae3	no
csae3
上下滚动显示		
上下滚动显示
	
循环显示四种颜色自动变换
循环显示
四种颜色自动变换
		
   点阵屏显示
   点阵屏显示
	
如图所示:开始由按键选择模式,是单个渐变色显示,还是循环显示,还是可改变亮度显示,在执行的同时检查是否按下reset键,如果reset键被按下,那么将对系统进行复位。
2.3模块划分点阵显示模块扫描控制模块分频模块
点阵显示模块
扫描控制模块
分频模块
逻辑划分框图
控制器点阵显示屏
控制器
点阵显示屏
分频电路
分频电路
CP
	
按键选择显示模式:循环,滚动按键选择所要选择的汉字或图形按键调节亮度	
按键选择显示模式:循环,滚动
按键选择所要选择的汉字或图形
按键调节亮度
	
		
按键消抖动同步电路
按键消抖动同步电路
如图所示:系统主要分成3个模块:分频模块针对不同的模式进行分频,扫描控制模块通过行扫描或者列扫描来控制循环显示的方式,点阵显示模块主要是响应不同模式下的点阵显示方式及图形。3 仿真波形及其分析
如图所示 当摁下clear键时各信号复位,当模式按键按下并计数时,信号输出对应各个模式,桐乡当亮度模式按下时,信号的占空比改变。
4源程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity dianzhen is
	port(clk_in ,clear,btn1,btn2,btn3: in std_logic;   --定义时钟、复位、按键1、2、3
		colred ,colgreen ,rowout : out  std_logic_vector(7 downto 0); --列信号行信号输出    
        bout0,bout1,bout2,bout3: out std-logic                   --防抖动信号
         );   
end dianzhen;
architecture behave of dianzhen is
  signal stared ,stagre : std_logic_vector(3 downto 0);
  signal cred ,row ,cgreen,redtm,gretm   : std_logic_vector(
                您可能关注的文档
最近下载
- EHS体系程序文件-信息沟通、协商和决议管理程序.docx VIP
 - 高中政治课堂教学应用时事政治策略探究.doc VIP
 - (GBT7588 1—2020)电梯制造与安装安全规范.pdf VIP
 - 设施农业智能化与现代农业装备产业发展趋势报告.docx
 - “十四五”公路养护发展规划.docx VIP
 - 健康评估(第二版)全套教学课件.pptx
 - 标准图集-20S515-钢筋混凝土及砖砌排水检查井.pdf VIP
 - 2024年贵州省六盘水市中考语文试卷真题(含答案逐题解析).docx
 - CQI-27铸造系统评估表 第二版(2023).xls VIP
 - 银行大堂服务实训(第2版) 课件 项目4 营业中引导营销服务.pdf VIP
 
原创力文档
                        

文档评论(0)