第八讲子程序2备课讲稿.ppt

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第八讲 VHDL硬件描述语言_6 教学课时:2学时 教学内容: 1、函数 2、过程 3、子程序重载 一、函数 函数分为函数头和函数体两部分。 函数头的格式为: FUNCTION 函数名(参数表) RETURN 数据类型 ; 函数体的格式为: FUNCTION 函数名(参数表) RETURN 数据类型 IS [声明部分] BEGIN 顺序语句; RETURN [返回变量名]; END [函数名]; 函数可以在程序包、结构体和进程中定义。 在程序包中定义的函数可以被其他不同的设计调用。此时,函数头必须放在程序包的说明部分,而函数体放在程序包的包体内。 如果只是在结构体中定义并调用函数,则仅需函数体即可。 library ieee; use ieee.std_logic_1164.all; use work.mypkg.all; entity bijiaoqi is port(a,b,c,d:in std_logic_vector(2 downto 0); y:out std_logic_vector(2 downto 0)); end entity; architecture one of bijiaoqi is begin process(a,b,c,d) variable q1,q2,q3:std_logic_vector(2 downto 0); begin q1:=max(a,b); q2:=max(q1,c); q3:=max(q2,d); y<=q3; end process; end one; 4输入比较器电路的VHDL程序: 仿真波形图 设计思路二:新建一个实体,在其结构体内定义最大值函数体,在进程中调用函数,实现电路要求。 library ieee; use ieee.std_logic_1164.all; entity bijiaoqi_2 is port(a,b,c,d:in std_logic_vector(2 downto 0); y:out std_logic_vector(2 downto 0)); end entity; architecture one of bijiaoqi_2 is function max(a,b:in std_logic_vector) return std_logic_vector is begin if a>b then return a; else return b; end if; end max; begin process(a,b,c,d) variable q1,q2,q3:std_logic_vector(2 downto 0); begin q1:=max(a,b); q2:=max(q1,c); q3:=max(q2,d); y<=q3; end process; end one; 仿真波形图 设计思路三:新建一个实体,在其进程内定义最大值函数体,在进程中调用函数,实现电路要求。 library ieee; use ieee.std_logic_1164.all; entity bijiaoqi_3 is port(a,b,c,d:in std_logic_vector(2 downto 0); y:out std_logic_vector(2 downto 0)); end entity; architecture one of bijiaoqi_3 is begin process(a,b,c,d) function max(a,b:in std_logic_vector) return std_logic_vector is begin if a>b then return a; else return b; end if; end max; variable q1,q2,q3:std_logic_vector(2 downto 0); begin q1:=max(a,b); q2:=max(q1,c); q3:=max(q2,d); y<=q3; end process; end one; 函数总结 函数是用来实现特定功能的一组语句,它包括函数头,函数体。函数可以在程序包,结构体,进程中进行定义。 在程序包中定义的函数可以被不同的设计调用。在结构体中定义的函数可以被同一结构体中的不同进程调用。在进程中定义的函数,只能在该进程中使用。 二、过程 过程由过程头和过程体构成。 过程头的格式为: PROCEDURE 过程名(参数表) 过程体的格式为: PROCEDURE 过程名(参数表)IS [说明部分] BEGIN

文档评论(0)

youngyu0329 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档