电子电路的分析与应用 金华职院:单元4-分离集成器件电子琴分析与制作 4授课讲义-4.5.1编码器和译码器的分析与应用.doc

电子电路的分析与应用 金华职院:单元4-分离集成器件电子琴分析与制作 4授课讲义-4.5.1编码器和译码器的分析与应用.doc

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
应用电子技术专业国家教学资源库 课程资源:电子电路的分析与应用 2010年10月 核心知识:授课讲义 讲稿: 课时1 编码器的分析与应用 目标:认识编码器、编码器工作原理、编码器芯片选择、检测与应用。 目录 编码器分析..........................................了解 1.1 二进制编码器 1.2 二-十进制编码器 1.3 优先编码器 2. 编码器应用...........................................会 0.引入 同学们:前面我们已经学习了组合逻辑电路的分析与设计方法。随着微电子技术的发展,现在许多常用的组合逻辑电路都有现成的集成模块,不需要我们用门电路设计。常用组合逻辑集成器件有编码器、译码器、数据选择器、数值比较器、加法器等。 1.编码器分析 在数字系统中,常常需要将某一信息(输入)变换为某一特定的代码(输出)。把二进制按一定的规律编排,例如8421码、格雷码等,使每组代码具有一特定的含义(代表某个数或控制信号)称为编码。例:商品条形码、键盘编码器。 具有编码功能的逻辑电路称为编码器,如图1-1所示。 被编信号二进制代码 被编信号 二进制代码 编码器 编码器有若干个输入,在任何时刻,只能对一个输入信号进行编码,即不允许有两个或两个以上输入信号同时存在的情况出现。 一般而言,N个不同的信号,至少需要n位二进制数编码。N和n之间满足下列关系:2n≥N。 1.1 二进制编码器 用n位二进制代码对2n个信号进行编码的电路称为二进制编码器。 3位二进制编码器有8个输入端3个输出端,所以常称为8线—3线编码器,其功能真值表见表1-1,输入为高电平有效。 由真值表写出各输出的逻辑表达式为: 表1-1 编码器真值表 输 入 输 出 I0 I1 I2 I3 I4 I5 I6 I7 A2 A1 A0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 用门电路实现逻辑电路,如图1-2所示。 图1-2 3位二进制编码器 1.2 二-十进制编码器 人们习惯用十进制,而数字电路只识别二进制,则需要相互转换。 二一十进制编码器(8421码编码器):将0~9十个十进制数转换为二进制代码的电路。 例:键控8421BCD码编码器。 左端的十个按键S0~S9代表输入的十个十进制数符号0~9,输入为低电平有效,即某一按键按下,对应的输入信号为0。输出对应的8421码,为4位码,所以有4个输出端A、B、C、D,真值表如表1-2所示。 由真值表写出各输出的逻辑表达式为: 图1-3 键控8421BCD码编码器 表1-2 键控8421BCD码编码器真值表 输 入 输 出 S9 S8 S7 S6 S5 S4 S3 S2 S1 S0 A B C D GS 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1

您可能关注的文档

文档评论(0)

WanDocx + 关注
实名认证
内容提供者

大部分文档都有全套资料,如需打包优惠下载,请留言联系。 所有资料均来源于互联网公开下载资源,如有侵权,请联系管理员及时删除。

1亿VIP精品文档

相关文档