串行扫描显示电路设计.docx

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验六 串行扫描显示电路设计 一、实验目的 1.通过用AHDL语言设计串形扫描显示电路进一步掌握使用AHDL方法。2.通过用VHDL语言设计串形扫描显示电路进一步掌握使用VHDL方法。 3.熟悉使用CPLD/FPGA实验箱的数码管进行显示。 二. 实验仪器 1.PC机一台 2. KHF-1/KHF-2/KHF-3/KHF-4/KHF-5 CPLD/FPGA实验开发系统一套。 三、实验要求 预习串行扫描显示的原理 复习教材相关内容。 用硬件描述语言进行电路设计。 四、实验内容及实验步骤 1.串形扫描显示电路设计 (1)AHDL设计输入。参考程序如下: Subdesign cxsm (inclk :INPUT; ----定义输入输出 out[7..0],b[3..0] :OUTPUT; ) VARIABLE -----定义变量 d[3..0],js[21..0] ,f :DFF; BEGIN (js[ ],f).clk=inclk; if js[ ]==999999 then -----分频模块 js[ ]=0;f=!f; else js[ ]=js[ ]+1;f=f; end if; (d[ ].prn,d[ ].clrn)=VCC; -----扫描输出 d[ ].clk=f; d[ ]=d[ ]+1; TABLE -----段码转换模块 d[ ].q=out0,out1,out2,out3,out4,out5,out6,b[ ]; H0=1,1,1,1,1,1,0,1; -----0 H1=0,1,1,0,0,0,0,2; -----1 H2=1,1,0,1,1,0,1,4; -----2 H3=1,1,1,1,0,0,1,8; -----3 H4=0,1,1,0,0,1,1,1; -----4 H5=1,0,1,1,0,1,1,2; -----5 H6=1,0,1,1,1,1,1,4; -----6 H7=1,1,1,0,0,0,0,8; -----7 H8=1,1,1,1,1,1,1,1; -----8 H9=1,1,1,1,0,1,1,2; -----9 Ha=1,1,1,0,1,1,1,4; -----a Hb=0,0,1,1,1,1,1,8; -----b Hc=1,0,0,1,1,1,0,1; ------c Hd=0,1,1,1,1,0,1,2; ------d He=1,0,0,1,1,1,1,4; ------e Hf=1,0,0,0,1,1,1,8; -------f END TABLE; out7=VCC; END; (2)用VHDL设计,示例如下: library ieee; -------调用库 use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tcx is -------实体说明 port( i

文档评论(0)

asd522513656 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档