全套课件:数字电子技术(北京工业大学).ppt

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Architecture a of adder is Signal c: std_logic_vector(0 to n); Component fulladder Port(ci,a,b : in std_logic; s,co : out std_logic); End component; Begin c(0)=cin; Generate_label: For i In 0 to n-1 Generate Bitadder: fulladder Port map(c(i),x(i),y(i),sum(i),c(i+1)); End generate; Cout=c(n); End a; Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity nadder is Port(Cin1 : in std_logic; x1,y1 : in std_logic_vector(7 downto 0); Sum1 : out std_logic_vector(7 downto 0); Cout1 : out std_logic); End nadder; 带Generic的元件例化—N位全加器 Architecture a of nadder is Component adder Generic(n:integer); --也可在此进行影射Generic(n:integer:=8); Port(cin : in std_logic; x,y : in std_logic_vector(n-1 downto 0); sum : out std_logic_vector(n-1 downto 0); cout: out std_logic); end component; Begin Nadder: adder Generic map(n=8) Port map(cin1,x1,y1,sum1,cout1); End a; --若元件声明时已经赋值,则Nadder: adder Port map(cin1,x1,y1,sum1,cout1); Generic map(8) (1)顺序语句每一条语句的执行都是顺序进行的。如同高级编程语言中的命令执行方式,一次一条命令,按书写顺序由上而下执行。 (2)顺序语句只能出现在进程和子程序内部。 (3)由于顺序语句的次序很重要,因此,顺序语句要与并行语句分割开,这可以通过Process语句来实现。Process语句出现在结构体中,它将其内部的语句进行封装。 第四章 顺序赋值语句 顺序语句有三个特点: a.所有语句的执行是顺序进行的; b.每条语句的执行和其所在的位置有关; c.顺序语句的输出不仅依赖于输入,而且还受位置的影响。 第四章 顺序语句 (1)Process语句 (2)If-Else语句 (3)Case-When语句 (4)Null语句 (5)For-Loop语句 (6)Wait until语句 (7)变量赋值语句 (8) Procedure(过程)调用语句 (1)Process语句 Process 内的顺序语句可以是: [Process-label:]Process[(Sensitivity list)] [Variable declarations] --变量声明 Begin [wait until statement] [variable assignment statements] [if statements] [case statements] [Procedure调用语句 ] End Process; (2)If-Else语句 If expression1 Then statement1; [statement;] [ Elsif expression2 Then statement2; {statement;} Else statement3; [statement;]] End if; 语法格式: If-Else语句示例 例如: If Sel=“01” then f=x1; elsif Sel=“10” then f=x2; else f=x3; End i

文档评论(0)

pehalf + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:7201060146000004

1亿VIP精品文档

相关文档