用verilog语言编写交通灯程序.pdf

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
交通灯 一、 实验目的 写一个交通灯,要求: ① 有东西南北四个方向,两组交通灯轮流交替变换,其中,红灯时间为 30 个时间单位,绿灯时间为 25 个时间单位,黄灯时间为 5 个时间单位。最 后用 modelsim 软件进行仿真。 ② 要求设计是一个可综合设计。 二、 实验原理 根据实验要求的逻辑功能描述,可以分析得出原理图如下: 控制器即可以设计为一个有限状态机的形式: E-W 方向 S-N 方向 状态 R Y G R Y G 1 0 0 1 0 0 IDLE 1 0 0 0 0 1 S1 1 0 0 0 1 0 S2 0 0 1 1 0 0 S3 0 1 0 1 0 0 S4 根据实验要求画出控制器的状态转移图如下: 三、 代码 1、 源代码 (1)控制器模块 module traffic_lights(clk,rst,count,ew,sn); input clk,rst; input[5:0] count; output[2:0] ew,sn; reg[2:0] ew,sn; reg[3:0] state; parameter Idle=3b000,s1=3b001,s2=3b010,s3=3b011,s4=3b100; always @(posedge clk) if(!rst) begin state=Idle; end else casex(state) Idle: if(rst) begin state=s1; end s1: if(count==d25) begin state=s2; end s2: if(count==d30) begin state=s3; end s3: if(count==d55) begin state=s4;

文档评论(0)

tianya189 + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体阳新县融易互联网技术工作室
IP属地上海
统一社会信用代码/组织机构代码
92420222MA4ELHM75D

1亿VIP精品文档

相关文档