计数器及其应用研究报告.pdfVIP

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

.

-

数电实验报告

:永光

学号

学院:通信工程学院

-

-可修编-

.

-

计数器及其应用研究〔二〕

一.实验目的:

1.熟悉计数器的工作原理,掌握中规模计数器〔MSI〕逻辑功能及其

应用。

2.掌握计数器的级联方法,并会用中规模计数器〔MSI〕实现任意进

制计数器。

二.实验仪器:

1.万用表一块

2.直流稳压电源一台

3.函数信号发生器一台

4.双踪示波器一台

5.逻辑分析仪一台

6.数字电路实验板一块

三.实验说明:

计数器是一种使用相当广泛的功能器件,现在无论是TTL还是

CMOS集成电路,都有品种齐全的MSI计数器。在这一节实验中,我

们所用计数器均为TTL器件,因此,以下介绍实验中所用的几种计数

器。

74LS161、74LS163—可编程4位二进制同步计数器

同步计数器是指计数器所有触发器都在同一时钟脉冲作用下、在同一

-

-可修编-

.

-

时刻翻转。其优点是计数速度快。74LS161和74LS163除了具有普通

4位二进制同步计数器的功能外,还具有可编程计数器的编程功能。

可编程计数器的编程方法有两种,一种是由计数器的不同输出组合来

控制计数器的模;另一种是通过改变计数器的预置输入数据来改变计

数器的模。这两种编程方法也同样适用于其它可编程计数器。

74LS161具有异步清零、同步置数的功能。其中,Cr是异步清零输

入端,低电平有效;LD是同步并行置数控制端,低电平有效;P和T

具有保持和制止计数的功能,只要P和T两端中有一端为零,计数器

即为保持状态,要正常计数,它们必须都为高电平。QD~QA是进位

输出端,其平时为低电平,当74LS161计数计到最大值时,翻转为高

电平,宽度为一个时钟周期。D~A是并行数据输入端,是数据输出

端。

图4-274LS161外引线排列图

四.实验容:

1.用VHDL语言描述模50计数器。要求完成电路设计,进展电路

仿真,并下载后作功能测试。将计数器时钟置为1HZ方波信号,输出

接译码、显示电路,在数码管上观察输出状态变化。

2.设计一个计数型序列码产生电路,产生的序列码〔输出Z)为

-

-可修编-

.

-

1101000101。要求用FPGA实现,并在实验箱上测试其功能,时钟设

置为1KHZ,在示波器上双踪观察并记录CP,Z的波形。

五.程序实现及仿真:

1.用VHDL语言描述模50计数器:

VHDL描述:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.S

您可能关注的文档

文档评论(0)

158****2808 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档