EDA交通灯课程设计(带有测试平台testbench)精讲.docx

EDA交通灯课程设计(带有测试平台testbench)精讲.docx

  1. 1、本文档共22页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

EDA技术课程设计

十字路口交通灯控制器设计

学 院 电气与信息工程 专 业 电子信息工程

班 级学号

指导教师

0934111

0934111**

课程名称姓名

***

EDA技术

*俊豪

成绩评定·

一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。

设计报告评分答辩评分

设计报告评分

答辩评分

平时表现评分

评分项目任务完成

情 况

(20分)

课程设计

报告质量

(40分)

表达情况

(10分)

回答问题工作态度与纪独立工作

合 计

(100分)

(10分)

(10分)

能力

(10分)

得分

课程设计成绩评定

班级 0934111

班级 0934111

姓名

学号0934111

成绩:

分(折合等级

指导教师签字

目录

一、设计题目 1

二、设计任务和要求 1

三、EDA设计 2

、明确系统的功能,并进行逻辑抽象 2

、模块功能说明 3

、波形仿真及分析 3

、代码 3

、各模块rtl电路及功能仿真和时序仿真 4

四、硬件测试 7

五、实验困难问题及解决措施 7

参考文献 9

附录 10

PAGE

PAGE2

前 言

伴随着社会的发展以及人类生活水平的提高, EDA技术在电子信息、通信、自动、控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对DEA需求的不断增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以设计交通灯来完成这个需求就显的越加迫切了。为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯:其中红灯亮,表示该条路禁止通行;黄灯亮表示停车;绿灯亮表示允许通行。

一、设计题目

十字路口交通灯控制器设计。

二、设计任务和要求

用EDA技术设计一个十字路口的交通信号灯控制器,控制A、B两条交叉道路上的车辆通行,具体要求如下:

设计一个十字路口交通信号管理控制器。对于每个路口,可实现直行、停止、左转指示,并显示当前状态剩余时间

每个方向有直行红灯、直行绿灯、停行黄灯和左转绿灯共4个LED指示灯组成;

每个方向用两位数码管显示当前状态剩余时间;

系统复位后进入东西直行,南北禁行状态;

直行状态最后3秒内,绿灯闪烁状态;

三、EDA设计

由于本设计实验的功能较多,所以就采用模块化设计。整个程序设计基于8种状态,如下表所示:

交通灯状态转换表

状态S

A方向

S1

左拐

a1

S2

黄灯

ay

S3

绿灯ag

S4

黄灯ay

S5

红灯ar

S6

红灯ar

S7

红灯ar

S0

红灯ar

亮灯时

10s

4s

20s

4s

33

B方向

红灯

br

红灯br

红灯br

红灯br

左拐

b1

黄灯

by

绿灯

bg

黄灯

by

亮灯时

38s

10s

4s

15s

4s

注释:系统复位后进入A方向直行绿灯,B方向直行红灯的状态即S3。

监督

监督

B方向

A

方向

图1A、B方向示意图

顶层模块

顶层模块

控制模

分频模块

计数模块

显示模块

译码模块

图2整体模块示意图

、明确系统的功能,并进行逻辑抽象

如图1本方案可实现在确定时刻,倒计数数字显示能够及时变化,红黄绿灯能准确变化,考虑到实际应用,加入人工监督功能,通过改变频率来控制交通灯亮灭的时间的长短。本设计采用模块化设计,图2为本设计十字路口交通等系统的层次结构框图。

、模块功能说明

主控制模块(kongzhi):控制系统输入输出之间联系。

显示模块(xianshi):显示倒计时时间和工作状。其输出用来驱动4位共阴数码管,并显示倒计时时间(动态扫描)。

分频模块(fenpin):本系统动态扫描需要1KHZ的脉冲而系统时钟需要1HZ的脉冲,分频器主要为系统提供所需要的时钟脉冲。该模块将1KHZ的脉冲信号进行分频,产生周期为1hz的方波,作为系统时钟信号的倒计时闪烁信号。

译码模块(yima):根据控制信号,驱动交通灯即LED的显示。

计数模块(jishu):用来设定A方向和B方向计时器的初值,并为显示模块提供倒计时时间。

顶层模块jiaotongdeng,连接各模块设计,使之成为一个有机体。

前5个模块分别进行仿真测试,成功后把所有.vhdl文件包含在工程jiaotongdeng,实现模块化设计。

、波形仿真及分析

、代码

见附录一。

、各模块rtl电路及功能仿真和时序仿真

各模块分别建立工程文件,并进行功能仿真、时序仿真,前六个模块成功编译,得到正确仿真结果后,建立顶层模块。

模块一:控制模块(kongzhi)

图3控制

文档评论(0)

dqy118 + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体上海海滋实业有限公司
IP属地湖北
统一社会信用代码/组织机构代码
91310115MA7DL1JF2N

1亿VIP精品文档

相关文档