详细设计方案_74hc85.pdfVIP

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

四位数值比较器详细设计方案

1.四位比较器简介:

四位数值比较器是一个有多个输入和多个输出组合逻辑电路,在数字系

统中有着广泛的应用。它通过比较两个四位二进制数的值,以产生不同的输

出结果。本设计兼容数字电路中常用的74HC85数值比较器。

是位数值比较器,其功能如表示,输入端包括~

74HC8541A3A0

与B3~B0,输出端为FAB、FAB、FA=B,以及扩输入端为IAB、IA

B和IA=B。扩输入端与其他数值比较器的输出连接,以便组成位数更多

的数值比较器。

2.四位比较器方案规格:

四位数进行比较,输出比较结果。

3.四位比较器方案目的:

1、使学生了解四位比较器。

2、使学生掌握四位比较器设计方法。

4.使用方法:

按键作为输入,按键作为输入,

KEY2KEY1B1B0KEY4KEY3A1A0

个()、、指示,下载到

3LEDLED3LED2LED1FabFabFa=bFP

GA上面,可以看到默认时候LED1发光,代表Fa=b,当KEY2或者K

EY1按下时候LED3亮,代表AB,当KEY4或者KEY3按下时候LED

2亮,代表AB。

5.四位比较器硬件方案:

6.四位比较器实现原理

是位数值比较器,其功能如表示,输入端包括~

74HC8541A3A0

与B3~B0,输出端为FAB、FAB、FA=B,以及扩输入端为IAB、IA

B和IA=B。扩输入端与其他数值比较器的输出连接,以便组成位数更多

的数值比较器。其逻辑符号如图1示。

7.VerilogHDL源代码

VerilogHDL代码为:

modulecompare4(

iput[3:0]a_i,//第一个4位比较值

iput[3:0]b_i,//第二个4位比较值

iput[2:0]i_i,//扩展输入端

outputreg[2:0]f_out//比较结果输出端

);

//********************************************************************

**********

//模块名称:4位比较器模块

//功能描述:完成4位比较器的功能

//********************************************************************

**********

always@(a_inorb_inori_in)begi

if(a_inb_in)

f_out=3b100;//输出a大于b

elseif(a_inb_in)

f_out=3b010;//输出a小于b

elsebegi

case(i_in)

3b000:

f

文档评论(0)

137****3135 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档