时序逻辑电路verilog_hdl建模.pptVIP

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
时序逻辑电路verilog_hdl建模 always initial always结构 always @ (敏感事件) begin //逻辑描述 end 敏感事件 电平敏感 用变量名表达 边沿敏感 ( verilog_hdl关键字 ) posedge negedge 阻塞与非阻塞赋值 阻塞赋值 = 非阻塞赋值 = begin begin B=A; B=A; C=B+1; C=B+1; end end D锁存器 module D_latch(Q,D,E); output Q; input D,E; reg Q; always @ (E or D) if (E) Q=D; //same as: if (E==1) endmodule D触发器 module D_FF(Q,D,CP); output Q; input D,CP; reg Q; always @ (posedge CP) Q=D; endmodule always结构的要点 在一个always块中只能用阻塞或非阻塞一种赋值方式。 不要在一个以上的always块中对同一个变量赋值。 复杂D触发器 module D_FF(Q,QN,D,CP,Sd,Rd); output Q,QN; input D,CP,Sd,Rd; reg Q,QN; always @ (posedge CP or negedge Sd or negedge Rd) //异步置1与置0 if (~Sd ||~Rd) // 置1与置0 低电平有效 if(~Sd) begin Q=1b1; QN=1b0; end else begin Q=1b0; QN=1b1; end else begin Q=D; Q=~D; end endmodule 移位寄存器 module shift74194(S1,S0,D,Dsl,Dsr,Q,CP,CR); ////p303 input S1,S0; input Dsl,Dsr; input CP,CR; input[3:0] D; output[3:0] Q; reg[3:0] Q; always @(posedge CP or negedge CR) if( ~CR) Q=4b0000; else case ({S1,S0}) 2b00 :Q=Q; 2b01 : Q={Q[2:0],Dsr}; 2b10 : Q={Dsl,Q[3:1]}; 2b11 : Q=D; endcase endmodule 计数器 module counter74161 (CEP,CET,PE,D,CP,CR,Q,TC); input CEP,CET,PE,CP,CR; input[3:0] D; output TC; output [3:0] Q; reg[3:0] Q; wire CE; assign CE=CEPCET; assign TC=CET(Q==4b1111); always @(posedge CP or negedge CR) if(~CR) Q=4b0000; else if (~PE) Q=D; else if (~CE) Q=Q; else Q=Q+1b1; endmodule 状态图的一般verilog hdl 描述 module FSM(clk,clr,out,start,step2,step3); input clk,clr,start,step2,step3; output[2:0] out; reg[2:0] out;

文档评论(0)

ygxt89 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档