基于FPGA的FFT设计毕业论文缨.doc

  1. 1、本文档共39页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于FPGA的FFT设计毕业论文缨.doc

诚 信 承 诺 书 本人承诺:所呈交的论文是本人在导师指导下进行的研究成果。除了文中特别加以标注和致谢的地方外,论文中不包含其他人已发表或撰写过的研究成果。参与同一工作的其他同志对本研究所做的任何贡献均已在论文中作了明确的说明并表示了谢意。 签 名: 日 期: 本论文使用授权说明 本人完全了解南通大学有关保留、使用学位论文的规定,即:学校有权保留论文及送交论文复印件,允许论文被查阅和借阅;学校可以公布论文的全部或部分内容。 (保密的论文在解密后应遵守此规定) 学生签名: 指导教师签名: 日期: 摘 要 快速傅里叶变换(FFT)是一种为了改进和提高离散傅里叶变换(DFT)运算速度而提出的算法。它是根据已有的DFT的运算特性发展起来的DFT快速算法。快速傅里叶变换的理论在信号处理、数字通信、语音处理和计算机等诸多领域有着广泛的运用。在不同的运用场合,对FFT电路的性能有着不同的要求,但是在很多领域都要求FFT处理器能够具有高速度、高精度和实时性的工作状态。 现场可编程门阵列(FPGA)是由许多独立的可编程逻辑模块组成一种新型可编程器件。FFT运算结构相对比较简单和固定,适合使用FPGA进行硬件实现,并且能兼顾速度及灵活性。本文介绍了一种基于FPGA上实现32点FFT变换的设计方案。整个FFT模块采用基-2时域抽取,顺序输入,逆序输出的方法。编写串口程序输入,运用复数乘法器为核心设计了FFT算法中的基-2蝶形运算单元、溢出控制单元和地址与逻辑控制模块等其它模块,并以这些模块和FPGA内部的双口RAM为基础组成了基-2FFT算法模块,将经过处理的数据由串口程序输出,并且对运算结果使用MATLAB软件验证。 FPGA,旋转因子,基-2 ABSTRACT Fast Fourier Transform (FFT) is a algorithm in order to improve and enhance the computing speed of the Discrete Fourier Transform (DFT). It is a DFT fast algorithm according to the development of the operational characteristics of the existing DFT. The theory of FFT is widely used in many fields such as signal processing, digital communications, voice processing, and computer. In different applications, the performances of the FFT circuit have different requirements, but in many areas FFT processor is required with high speed, high accuracy and real-time work status. Field Programmable Gate Array (FPGA) is a new type programmable device which is composed by a number of independent programmable logic module. The structure of FFT computation is relatively simple and fixed, suitable for the use of FPGA hardware implementation, and also can take the speed and flexibility into account. This article introduces a 32-point FFT transform design which is based on FPGA. The entire module uses Radix-2 time-domain extraction, the order of input, output reverse method. Input data using the serial interface program and using a complex multiplier as the core design of FFT algorithm in the Ra

您可能关注的文档

文档评论(0)

m3lv5hh + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档