基于FPGA的等精度数字频率计设计总结.doc

  1. 1、本文档共26页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
2014-2015学年第1学期 课程设计 题目:基于FPGA的等精度数字频率计设计 姓名:*** 学号:201295014220 班级:电气六班 摘要 伴随着集成电路(IC)技术的发展电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。电子设计自动化是一种实现电系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。数字频率计是一种基本的测量仪器。它被广泛应用与航天、电子、测控等领域。本文首先综述了EDA技术的发展概况,FPGA/CPLD开发的、优缺点,VHDL语言的历史及其优点然后介绍了频率测量的一般原理FPGA运用VHDL编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS Ⅱ集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试, 关键词:电子设计自动化VHDL语言频率测量数字频率计 摘 要 I 目 录 III 1. 绪 论 1 1.2 基于EDA的FPGA/ CPLD开发 2 1.3 硬件描述语言(HDL) 3 VHDL语言简介 3 1.4 QuartusII概述 4 2. 频率测量 6 2.1 数字频率计工作原理概述 6 本章小结 8 3. 数字频率计的系统设计与功能仿真 8 3.1 系统的总体设计 8 3.2 信号源模块 9 十进制 显示模块 14 3..1显示模块设计 14 3.2显示电路 15 3..3译码器 15 本章小结 16 结 论 16 频率计顶层文件 18 信号源模块源程序 19 32位锁存器源程序 19 20 显示模块源程序 21 1. 绪 论 21世纪人类将全面进入信息化社会,对微电子信息技术和微电子VLSI基 础技术将不断提出更高的发展要求,微电子技术仍将继续是21世纪若干年代中 最为重要的和最有活力的高科技领域之一。而集成电路(IC)技术在微电子领 域占有重要的地位。伴随着IC技术的发展,电子设计自动化(Electronic Design Automation, EDA)己经逐渐成为重要设计手段,其广泛应用于模拟与数字电 路系统等许多领域。EDA是指以计算机大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关开发软件,自动完成用软件方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至对于特定目标芯片的适配编译、逻辑射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门技术。 VHDL(超高速集成电路硬件描述语言)是由美国国防部开发的一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics Engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(TopDown)和基于库(LibraryBased)的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。 数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差可靠性差。随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。将使整个系统大大简化。提高整体的性能和可靠性。 数字频率计是通信设备、音、视频等科研生产领域不可缺少的测量仪器。采用VHDL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分外,其余全部在一片FPGA芯片上实现。整个系统非常精简,且具有灵活的现场可更改性。 本文用VHDL在CPLD器件上实现一种8 位数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且能对其他多种进行测量。具有体积小、可靠性高、功耗低的特点。 1.基于EDA的FPGA/ CPLD开发 我国的电子设计技术发展到今天,将面临一次更大意义的突破,即 FPGA/CPLD ( Field Programmab

文档评论(0)

a336661148 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档