eda数字逻辑拔河游戏机设计.docx

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
eda数字逻辑拔河游戏机设计

湖南涉外经济学院课程设计报告课程名称:EDA技术与应用报告题目:拔河游戏机设计学生姓名: 所在学院:专业班级:学生学号:指导教师: 2016年12月19日课程设计任务书报告题目拔河游戏机设计完成时间学生姓名专业班级指导教师职称总体设计要求和技术要点设计一个拔河游戏机设计,要求如下:(1)设计一个能进行拔河游戏的电路。(2)电路使用7个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。(3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。(4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。(5)用数码管显示获胜者的盘数。(6)按《湖南涉外经济学院课程设计管理办法》要求提交课程设计报告。工作内容及时间进度安排第15周:周1---周3 :立题、论证方案设计周4---周5 :预答辩第16周:周1---周3 :仿真实验7周4---周5 :验收答辩课程设计成果1.与设计内容对应的软件程序2.课程设计总结报告摘要电子拔河游戏机是一种能容纳甲乙双方参赛游戏电路。由一排发光二极管表示拔河的“电子绳”。由甲乙双方通过按纽开关使发光二极管向一方的终点延伸,当延伸到某方的最后一个发光二极管时, 则该方获胜,连续比赛多局以定胜负。关键词:拔河;脉冲;数显;二极管,时钟目录一、摘要…………………………………………………………………………4二、基本思想………………………………………………………………6三、设计框图………………………………………………………………………..6四、设计步骤和调试过程………………………………………………………71:总体设计电路………………………………………………………………..72.模块设计和相应模块程序……………………………………………………73.仿真及仿真结果分析……………………………………………………….114、实验调试结果……………………………………………………………..11五、学生情况统计表……………………………………………………………….12六、结论与心得……………………………………………………………………13七、参考文献……………………………………………………………………13八、教师评语及设计成绩………………………………………… ………………..14一、基本思想二十世纪后半期,通过EDA技术对大规模可编程逻辑器件编程,产品得到了大力的发展,这种方法不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能。这里采用VHDL语言来设计拔河游戏机,突出了其作为硬件描述,本设计的基本原理就是采用VHDL语言设计出拔河游戏机的原理程序,写入程序最后模拟仿真即可。拔河游戏机是一种能容纳甲乙双方参赛游戏电路。由一排发光二极管表示拔河的“电子绳”。本课题所设计的拔河游戏机由9个发光二极管排列成一行,开机之后只有中间一个发光二极管亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点就向哪方移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 由设计内容可知,首先需要一个十进制的计数器,用于对双方按钮的次数计数,并通过译码器显示在数码管上。设计要求用50MHz的频率,而设计用到的是1K Hz的频率,所以要设计一个程序进行分频。其次,显视控制部分设计要求在发光二极管上显示游戏状态,双方每按十次,亮点向先按十次移动一次,对脉冲进行计数,每十次移一位。需接入一个清零端 ,用于复位。再次,运用VHDL程序语言进行各个模块的程序编写,控制电路的正常运行。最后,将以上程序组装起来,就可得到所需要的拔河游戏机。二、设计框图甲方甲方胜利译码器可逆计数器LED中心线乙方胜利控制电路乙方整形电路显示计数器二、设计步骤和调试过程1.总原理图2.模块设计和相应模块程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY bahe IS PORT(A,B,RST,CLK:IN STD_LOGIC; DOUT:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END bahe;ARCHITECTURE ONE OF bahe IS SIGNAL BT:INTEG

文档评论(0)

文档精品 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:6203200221000001

1亿VIP精品文档

相关文档