可编程逻辑器件指导书.doc

  1. 1、本文档共95页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
可编程逻辑器件指导书

PAGE   PAGE \* MERGEFORMAT III 目录 项目一 软件的安装和使用……………………………………………1 任务一 软件的安装 ………………………………………………1 任务二 USB-Blaster快速安装向导………………………………4 任务三 软件的使用 ………………………………………………9 项目二 信号分频 ……………………………………………………23 项目三 编码器 ………………………………………………………25 任务一 普通8—3编码器…………………………………………25 任务二 8—3优先编码器…………………………………………27 项目四 译码器 ………………………………………………………32 任务一 3—8译码器………………………………………………32 任务二 七段数码管显示译码器………………………………… 34 任务三 六位数码管动态扫描显示电路设计与实现…………… 37 任务四 十进制计数器…………………………………………… 42 项目五 组合逻辑电路设计 …………………………………………47 任务一 四舍五入判别电路………………………………………47 任务二 举重裁判表决电路………………………………………50 任务三 加法器……………………………………………………52 项目六 时序逻辑电路的设计 ………………………………………58 任务一 触发器……………………………………………………58 任务二 单向移位寄存器…………………………………………63 任务三 双向移位寄存器…………………………………………71 项目七 计数器的设计 ………………………………………………77 任务一 50进制计数器……………………………………………77 任务二 100进制计数器 …………………………………………81 任务三 计时秒表………………………………………………… 84 项目八 多种频率输出控制器 ………………………………………89  PAGE \* MERGEFORMAT 92 项目一 软件的安装和使用 任务一 软件的安装 一、QuartusII安装说明: 光盘路径:quartusii\quartus\disk1中用鼠标左键双击setup.exe文件。启动界面如图1.1所示: 图1.1 QuartusII安装界面 点击Next后,弹出说明对话框,如图1.2所示: 图1.2 说明对话框 继续点击Next按钮后,弹出如图1.3所示的对话框,在上面空白处输入用户名,下面的输入公司的名字,这个可以任意填写。写好后Next按钮编程可选状态。(我的安装软件用户名默认为微软用户,公司的名字默认为微软中国) 图1.3 用户信息输入对话框 按下Next按钮后,进入安装路径选择界面,如图1.4所示。(建议安装在默认的路径) 图1.4 安装路径选择对话框 选择好安装路径之后,点击Next按钮之后会看见相关的安装信息,一直点击Next按钮知道进入安装过程。安装之后会弹出一个结束对话框,点击finish按钮,完成安装。 二、Quartus II软件的授权 授权过程是将光盘路径:quartusii7\Altera-Quartus_V7_Win_Crack中的license.dat文件复制到安装目录下。 还有在这个路径下的sys_cpt.dll文件复制到安装目录下的bin的文件夹里。 在开始菜单栏,选择运行,输入cmd,按回车弹出如下图的对话框所示 输入ipconfig/all,弹出如下图的对话框所示 用红色圈起来的是你自己电脑的网卡号。 以记事本方式打开license,替换你的网卡号进去。 打开QuartusII,导入license.dat菜单下tools/license.setup。 任务二 USB-Blaster快速安装向导 1.通过USB电缆,将USB-Blaster与电脑相连。在设备管理器中找到USB-Blaster后,单击右键选择革新驱动软件。 2.进入界面后,选择浏览计算机以查找驱动程序软件(R)。 3.进入浏览计算机以查找驱动程序软件界面后,在文本输入方框旁的单击浏览进入选择更新驱动软件的界面。 4.在该界面中选择你软件的安装目录下,比如这是在D盘的,D盘—altera—quartus—drivers—usb-blaster—x32(电脑系统是32位的Win7)—点击确定。 5.回到这个界面后,点击下一步。 6.系统会识别出该驱动,跳出该界面,询问是否访问,选择始终安装此驱动程序软件。 7.安装成功。 8.在usb-blaster连着电脑的情况下,打开quartusII,从菜单打开ToolProgrammer,从HardwareS

文档评论(0)

2017ll + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档