DDS课程设计.pdf

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
DDS课程设计

直接数字频率合成器(DDS) 一、设计任务与要求 直接数字频率综合技术,即DDS 技术,是一种新型的频率合成技术和信号 产生方法。利用EDA 技术和FPGA 实现直接数字频率合成器DDS 的设计。 设计要求: 1、 利用QuartusII 软件实验箱实现DDS 的设计; 2、 通过实验箱上的开关输入DDS 的频率控制字,并能用示波器观察加以 验证; 3、 DDS 中的波形存储器模块用Altera 公司的Cyclone 系列FPGA 芯片中 的ROM 实现。 二、总体框图 相位 控制字 N位 频率 M 累加器 相位 加法器 正(余)弦 DAC LP F 输出频率 控制字 寄存器 查找表 fout fc 时钟源 图一 1. 设计思路: 图1 是DDS 的基本总体框图,频率控制字M 和相位控制字N 分别控制DDS 输出正弦波的 频率和相位。DDS 系统的核心是相位累加器,它由一个累加器和一个N 位寄存器组成。每 来一个时钟脉冲,相位寄存器以步长M 增加。相位寄存器的输出与相位控制字相加,其结 果作为正弦查找表的地址。正弦查找表由ROM 构成,内部存有一个完整周期正弦波的数字 幅度信息,每个查找表的地址对应正弦波中0-360 °范围内的一个相位点。查找表把输入的 地址信息映射成正弦波的数字幅度信号,同时输出到数模转换器DAC 的输入端,DAC 输出 的模拟信号经过低通滤波器(LPF ),可得到一个频谱纯净的正弦波。 对于正弦信号发生器,它的输出可以用下式来描述: 其中,Sout 是指该信号发生器的输出信号波形,fout 只输出信号对应的频率。上式的表述对 于时间t 是连续的,为了用数字逻辑实现该表达式,必须进行离散化处理,用基准时钟clk 进行抽样,令正弦信号的的相位θ为 在一个clk 周期Tclk,相位θ的变化量为 其中fclk 指clk 的频率对于2 π可以理解为“满”相位,为了对Δθ进行数字量化,把2 π 切割成2N ,用词每个clk 周期的相位增量Δθ用量化值B Δθ来描述:B Δθ= (Δθ·2N ) /2 π,且B Δθ为整数与上式联立可得: 显然,信号发生器可以描述 其中θk- 1 指前一个clk 周期的相位值,同样得出 由以上推倒可以得出,只要对相位的量化值进行简单的累加运算,就可以得到正弦信号的当 前相位值,而用于累加的香味增量量化值B Δθ决定了信号的输出频率fout 并呈现简单的线 性关系。 DDS 基本结构框图特点:其中CLK 来自高稳性晶振所提供,用于提供DDS 各种部件 的同步工作。DDS 核心的相位累加器由一个N 位字长的二进制加法器和一个有时钟f 取样 的N 位寄存器组成,作用是对频率控制字进行线性累加;波形存储器中所对应的是一张函 数波形查询表,对应不同的相位码址输出不同的幅度编码。当相位控制字为0,相位累加输 出的序列对波形存储器寻址,得到一系列离散的幅度编码。该幅度编码经D/A 转换后得到 对应的阶梯波,最后经低通滤波器平滑后可得到所需的模拟波形。相位累加器在基准时钟的 作用下,进行线性相位累加,当相位累加器加满量时就会产生一次溢出,这样就完成了一个 周期,这个周期就是DDS 信号的一个频率周期。 2. 各模块的功能 (1) 频率预置和调节电路 不变量K 称作相位增量,也叫频率控制字。此模块实现频率控制量的输 入。 (2) 相位累加器 相位累加器是一个带有累加功能的N 位加法器,它以设定的N 位频率控制 字K 作为步长进行线性累加,当其和满时,计数器清零,并进行重新运算, 它使输出频率正比于时钟频率和相位增量之积。 (3) 相位寄存器 相位寄

文档评论(0)

xxj1658888 + 关注
实名认证
内容提供者

教师资格证持证人

该用户很懒,什么也没介绍

领域认证该用户于2024年04月12日上传了教师资格证

1亿VIP精品文档

相关文档