VHDL全加器的设计.doc

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL全加器的设计

实验四 全加器的设计 实验目的 通过VHDL语言设计4位全加器,掌握加法器的设计方法;学习利用软件工具的模块封装(1位全加器)及连接使用方法,在软件工具的原理图输入法下完成4位全加器的设计。 实验原理 根据数字电路全加器的理论知识,按图1所示的1位全加器的管脚图进行设计。 图 1 1位全加器管脚图 实验内容 用VHDL语言设计1位全加器,进行编译、波形仿真及器件编程。代码一见附录,仿真图如下 图 2 1位全加器功能仿真图 使用原理图设计4位全加器进行编译、波形仿真及器件编程。原理图如下 图 3 4位全加器的管脚图 仿真图如下 图 4 4 位全加器功能仿真图 用VHDL语言设计4位全加器,进行编译、波形仿真及器件编程,代码二见附录,仿真图如下 图 5 4位全加器功能仿真图 附录 代码一、 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity swqjq is port (a,b:in std_logic; ci:in std_logic; co:out std_logic; s:out std_logic); end swqjq; architecture zhang of swqjq is begin process (a,b,ci) begin if(a=0and b=0and ci=0) then s=0; co=0; elsif(a=1and b=0and ci=0) then s=1; co=0; elsif(a=0and b=1and ci=0) then s=1; co=0; elsif(a=1and b=1and ci=0) then s=0; co=1; elsif(a=0and b=0and ci=1) then s=1; co=0; elsif(a=0and b=1and ci=1) then s=0; co=1; elsif(a=1and b=0and ci=1) then s=0; co=1; else s=1; co=1; end if; end process; end zhang; 代码二、 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity adder4b is port ( ci:in std_logic; a,b:in std_logic_vector(3 downto 0); s:out std_logic_vector(3 downto 0); co:out std_logic ); end adder4b; architecture zhang of adder4b is signal sint:std_logic_vector(4 downto 0); signal aa,bb:std_logic_vector(4 downto 0); begin aa=0a(3 downto 0); bb=0b(3 downto 0); sint=aa+bb+ci; s(3 downto 0)=sint(3 downto 0); co=sint(4); end zhang;

文档评论(0)

haihang2017 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档