微机原理与接口技术课件 5.IO端口地址地址译码方法(已看).ppt

微机原理与接口技术课件 5.IO端口地址地址译码方法(已看).ppt

  1. 1、本文档共22页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
微机原理与接口技术课件 5.IO端口地址地址译码方法(已看)

第五讲 I/O端口地址译码方法 主要内容 I/O端口译码的原理和作用 I/O端口译码的方法 I/O端口译码电路的设计 I/O端口译码的作用 I/O端口译码的原理 输入信号: 地址信号和控制信号; 输出信号: 一根选中信号,低电平有效; 当选中信号有效时,一个接口芯片被选中,它内部的数据线打开,与总线相连; 没有被选中的接口,它内部的数据线呈高阻抗,与系统总线断开; I/O端口译码的原理 片选信号:独立编址时,一般用地址线的高位部分和控制信号(如RD、WR、M/IO)进行组合(译码)产生 I/O接口电路的片选信号(CS)。 端口选择:用地址线的低位部分直接连到 I/O接口芯片实现端口的选择。 译码方法 门电路译码法 采用逻辑门电路组成译码电路,适用于一种口地址的译码方法。 设计时首先分配好地址,然后写成二进制形式,再根据地址总线数分配各与非门输入管脚地址。 门电路译码需要芯片种类较多,且译出的端口地址单一,接口中用到的端口地址不能更改。 门电路译码法 门电路译码法 I/O端口的地址分配 80X86系列微处理器提供16条地址线访问I/O端口,编址可达64K个字节端口或者32K个字(一个字两个字节)端口。 IBM系列采用非完全译码方式,即只考虑了低10位地址线A0-A9,I/O端口地址范围是0000H-03FFH,总共只有1024个字节端口 I/O端口地址选用的原则 自行设计接口电路或给微机系统添加接口卡时,必须避免端口地址发生冲突 申明保留的地址,不要使用 用户可使用300H--31FH地址(2的5次方,32个字节端口) 译码器译码 74LS138真值表 译码器译码 部分译码法 部分译码法:即用片内寻址外的高位地址的一部分译码产生片选信号。 四片6264需要四个片选信号,因此要用两位 地址信号译码来产生,A19~A15不参与译码。 任一个单元都对应25=32个地址,产生地址重叠。 从地址分布来看,这32KB存储器实际上占用了1MB存储容量。 高位地址全为“0”的地址称为基本地址。 * * * * * * CPU为了对I/O端口进行读写操作,必须确定与自己交换信息的端口(寄存器). CPU I/O 设备 译码 数据端口 状态端口 控制端口 DB AB CB 一个典型的I/O接口 逻辑门电路译码(适用口地址单一或固定的) 译码器译码(适用电路需多个口地址(地址连续)) 比较器译码(适合口地址可变的) 可编程逻辑器件PLD(适用口地址保密,可变灵活)如PAL、GAL 例使用74LS20/30/32和74LS04设计I/O端口地址为3D8H的只读译码电路。 若要产生3D8H端口地址,则译码电路的输入地址线就应具有如下表所示的值。 8 D 3 十六进制 1 0 0 0 1 1 0 1 0 0 1 1 二进制 A3 A2 A1 A0 A7 A6 A5 A4 0 0 A 9 A8 地址线 译码电路输入地址线的值 按照表中地址线的值,采用门电路就可以设计出译码电路,如下图所示。 A1 A0 AEN IOR 1 1 1 1 1 A9 A8 A7 A6 A5 A4 A3 A2 ≥1 74LS04 74LS20 74LS32 74LS30 1 Y A9 1 A8 1 A7 A6 A5 A4 A3 1 1 1 1 A2 A1 A0 AEN IOR ?1 Y 74LS30 74LS20 74LS32 图中译码输出地址2F8H (只读、AEN=0) 若接口电路中需使用多个端口地址,则采用译码器译码比较方便。 译码器的型号很多,如3-8译码器74LS138;4-16译码器74LS154;双2-4译码器74LS139、74LS155等。 这些译码器通常由三个部分组成:译码控制端,选择输入端,译码输出端。 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 × × × × × × × × × 0 × × × 1 × × × 1 0 1 1 1 1 1 1 1 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 0 1 0 0 1 1 0 1 1 1

文档评论(0)

ctuorn0371 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档