北航电气技术实践FPGA报告精选.docxVIP

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
北航电气技术实践FPGA报告精选

FPGA实验报告实验目的熟悉使用可编程逻辑器件--Altera 公司FPGA Cyclone ш 系列EP3C55F熟悉使用硬件描述语言--VHDL熟悉FPGA集成环境--Altera公司FPGA Quartus∥ 9或10开发流程熟悉并掌握核心目标系统板与接口电路等工作原理及功能模块绑定新信息熟悉并掌握下载线方式和下载文件的选择实验要求学习并掌握文本编辑、图形编辑等输入和时序、功能仿真方法。学习并掌握门电路、组合电路、时序电路等单一模块功能。学习并设计各种不同状态机逻辑功能的电路。学习并设计有单一模块--较多功能模板集成--系统集成的方法。学习并将系统及成功逐一拆分成一个个子功能模块的方法。学习并选择多种模式显示(发光二极管显示、七段数码管--动态扫描或静态扫描显示、LED点阵显示各种字符和图形或静止或移动等方式、LCD字符液晶显示各种字符和图形或静止或移动、TFT-LCD触摸液晶屏显示各种信息等方式)。根据自己的兴趣和愿望,可从给定的实验题目中选取或自己设定功能题目。同组实验者应轮流操作实例实验流程,并实施源程序编写、编译、调试、下载程序和验证实验结果实践环节。利用元件例化语句调用,至少涉及一个有内容、功能较复杂稍大的主、子程序综合应用例程。实验数目不仅要求有简单、一般设计验证、综合、提高等不同层次(难度)功能内容,关键是看质量。实验设备可编程逻辑EDA/SOPC实验箱一台。计算机及开发软件Quartus∥ 一台套。实验内容按指导书7.6集成开发环境使用章节操作顺序实现文本编程实例1和图形编程实例2全过程。分析并运行指导书5.2综合实例,掌握其编程技巧和输入及输出显示方法。任选门电路、组合电路、时序电路实验各完成一个其逻辑功能,其实现方案自拟定。在运行FPGA目标器件输入和输出引脚绑定时,输入引脚可绑定高/低电平、单脉冲、各种分频连续脉冲等多种信号,输出引脚可绑定发光二极管、七段数码管、LED点阵等显示模式。如玉门、或门、非门、或非门、异或门、三态门、单向总线缓冲器、双向总线缓冲器、编码器(8-3编码器或优先编码器)、译码器(3-8译码器、BCD-7段显示译码器)、数据选择器(4-1 、8-1)、数据分配器、数值比较器(A,B)、加法器(半加器、全加器、4位全加器)、减法器(半减器、全减器、4位全减器)、RS触发器、JK触发器、D触发器、T触发器、同步计数器、异步计数器、减法计数器、可逆计数器、可变模计数器(无置数端、有置数端)、寄存器、锁存器、移位寄存器、顺序脉冲发生器、序列信号发生器、分频器、格雷码计数器、只读存储器(ROM)、随机存储器(RAM)、堆栈、FIFO。在完成一位十进制计数器的基础上,可增加完成两或三等多位十进制计数器逻辑功能并用多位七段数码管来显示。也可完成8位十进制数字频率计,被测时钟来自外部引针组“CLK_DIV”,有复位、启动等功能。根据状态机工作特点,设计一个你认为有一定功能效果的例程。如步进电机双向双拍、6位密码电子顺序锁、A/D转换器控制等。也可完成多人抢答器、彩灯控制、交通信号灯控制。利用4x4键盘电路,设计一个按下键(如“F”)并用七段数码管或LED点阵对应显示的键字符信息(显示“F”字符)。扩展是否能显示多位字符信息,如计算显示结果功能(计算器)。用LED点阵显示任意字符、图形等信息。用元件例化语句调用方式,设计一个具有一定功能的例程。如模拟控制电梯上、下、停止、显示楼层等功能。用LCD液晶屏显示任意字符、图形等信息。实验代码此处只附综合性实验的代码,其余代码参照实验指导书。4x4键盘电路此程序实现按下键盘上一个键(如“F”)并用七段数码管显示对应键的字符信息(如显示“F”字样)的功能。LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;USE ieee.std_logic_arith.all;entity cn7 isport (clk:instd_logic;start:instd_logic;KBCol:instd_logic_vector(3 downto 0);KBRow:outstd_logic_vector(3 downto 0);seg7:out std_logic_vector(6 downto 0);scan:outstd_logic_vector(7 downto 0));end;architecture bev of cn7 issignal count:std_logic_vector(1 downto 0);signal sta:std_logic_vector(1 downto 0);beginscan=;a:process(clk)beginif clkevent

文档评论(0)

feixiang2017 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档