verilog的文件转换.docVIP

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
`timescale 1 ns/1 ns `define DEL 2 module tb; //parameter BINFILE = D:/keil/Hello/Obj/hello.bin; parameter BINFILE = E:/Hello/Obj/hello.bin; reg clk = 1b0; always clk = #5 ~clk; reg rst = 1b1; initial #10 rst = 1b0; reg [7:0] rom [8191:0]; integer fd,fx,i,j; initial begin for(j=0;j8192;j=j+1) rom[j]=0; fd = $fopen(BINFILE,rb); fx = $fread(rom,fd); $fclose(fd); fd=$fopen(hello.mem,w); $fdisplay(fd,#Format=Hex); $fdisplay(fd,#Depth=2048); $fdisplay(fd,#Width=32); $fdisplay(fd,#AddrRadix=2); $fdisplay(fd,#DataRadix=3); $fdisplay(fd,#Data); for(i=0;i2048;i=i+1) $fdisplay(fd,%2h%2h%2h%2h,rom[4*i+3],rom[4*i+2],rom[4*i+1],rom[4*i]); $fclose(fd); end wire rom_en; wire [31:0] rom_addr; reg [31:0] rom_data; always @ (posedge clk) if (rom_en) rom_data = #`DEL {rom[rom_addr+3],rom[rom_addr+2],rom[rom_addr+1],rom[rom_addr]}; else; wire ram_cen; wire ram_wen; wire [3:0] ram_flag; wire [31:0] ram_addr; wire [31:0] ram_wdata; reg [31:0] ram [511:0]; reg [31:0] ram_rdata; always @ (posedge clk ) if ( ram_cen ~ram_wen ) if (ram_addr==32he0000000) ram_rdata = #`DEL 32h0; else if (ram_addr[31:28]==4h0) ram_rdata = #`DEL {rom[ram_addr+3],rom[ram_addr+2],rom[ram_addr+1],rom[ram_addr]}; else if (ram_addr[31:28]==4h4) ram_rdata = #`DEL ram[ram_addr[27:2]]; else; else; always @ (posedge clk ) if (ram_cen ram_wen (ram_addr[31:28]==4h4)) ram[ram_addr[27:2]] = #`DEL { (ram_flag[3] ? ram_wdata[31:24]:ram[ram_addr[27:2]][31:24]),(ram_flag[2] ? ram_wdata[23:16]:ram[ram_addr[27:2]][23:16]),(ram_flag[1] ? ram_wdata[15:8]:ram[ram_addr[27:2]][15:8]),(ram_flag[0] ? ram_wdata[7:0]:ram[ram_addr[27:2]][7:0])}; else; always @ (posedge clk) if (ram_cen ram_wen (ram_addr==32he0000004) ) $write(%s,ram_wdata[7:0]); else; reg irq=1b0; initial begin #100000 irq=1b1; #10 irq=1b0; end arm9_compatiable_code u_arm9( .clk ( clk ), .cpu_en (

文档评论(0)

qwd513620855 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档