- 1、本文档共11页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
交通灯控制器课设报告
《数字电子技术A》课程设计报告
学院 信息工程学院
指导老师 夏路易
姓名 梦星无魂
学号 9527
班级 非正常人类研究天字班
日期 2010-7-8
课程设计题目:单行道交通灯控制器的设计
题目要求:用VHDL设计一个单行道交通灯控制器。要求传感器1、传感器2检测车辆,当车辆经过时产生一个脉冲。当方向1为绿灯时,进入单行道几辆车,就必须在方向2检测到几辆车开出,就是两个传感器检测到的车辆之差为0时,才能给方向2绿灯,否则两个方向都是红灯。车辆从方向2进入时的情况与方向1相同。要求,绿灯经过黄灯后才能变红灯。
G1、G2是绿灯,Y1、Y2是黄灯,R1、R2是红灯。
第1章 单行道交通灯控制器电路原理图与PCB设计
根据系统设计要求,系统设计采用自顶向下的设计方法,它主要由分频模块、交通灯控制模块两部分部分组成。
所设计的电路原理图如下图所示。
其中下图是FPGA芯片EPIC3T100与JTAG下载、器件配置电路。电路中EPC2是10k10的器件配置芯片。CABLE_JTAG是10k10的电缆配置KTAG接口。
图中还包括LED电路,时钟电路,电源电路。
单行道交通灯控制器电路的PCB电路版图
图1 交通灯控制器的顶层PCB版图
图2 交通灯控制器的底层PCB版图
图3 交通灯控制器的PCB版图
第二章 单行道交通灯控制器的设计
根据设计要求,用VHDL设计单行道交通灯控制器,其VHDL源程序如下:
1、divider
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_signed.all;
use ieee.std_logic_arith.all;
entity divider is
generic ( datawidth : integer :=25);
port ( clk: in std_logic;
frq_out: out std_logic);
end divider;
architecture behave of divider is
signal count : std_logic_vector ( datawidth-1 downto 0);
begin
process
begin
wait until clkevent and clk=1 ;
if ( count=10 ) then count=0000000000000000000000000;
else count=count+1;
if ( count5 ) then frq_out=0;
else frq_out=1;
end if;
end if;
end process;
end behave;
2、traffic
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity traffic is
port ( clk,rst : in std_logic;
ledout : out std_logic_vector ( 5 downto 0 ));
end traffic;
architecture behave of traffic is
signal count : std_logic_vector ( 4 downto 0);
type state_value is (s1,s2,s3,s4,s5,s6);
signal state : state_value;
begin
process (clk,rst)
begin
if rst=0 then
state=s1;
count=10100;
ledout=100001;
elsif rising_edge ( clk ) then
case state is
when s1=
if count=00000 then
sta
您可能关注的文档
- SOA学习笔记.doc
- soho族如何开办家庭式企业.doc
- SPSS课件例题.doc
- solidworks2012课件5.ppt
- sqlserver和framework的系统要求.doc
- StrategiesofImprovingCollegeStudents’EnglishCompetence基础部高薇.doc
- SQL语句应用.doc
- STOP培训课程Eng.ppt
- Symantec_EndpointProtection_11用户手册.doc
- subway广告策划书.doc
- 新高考生物二轮复习讲练测第6讲 遗传的分子基础(检测) (原卷版).docx
- 新高考生物二轮复习讲练测第12讲 生物与环境(检测)(原卷版).docx
- 新高考生物二轮复习讲练测第3讲 酶和ATP(检测)(原卷版).docx
- 新高考生物二轮复习讲练测第9讲 神经调节与体液调节(检测)(原卷版).docx
- 新高考生物二轮复习讲练测第11讲 植物生命活动的调节(讲练)(原卷版).docx
- 新高考生物二轮复习讲练测第8讲 生物的变异、育种与进化(检测)(原卷版).docx
- 新高考生物二轮复习讲练测第5讲 细胞的分裂、分化、衰老和死亡(讲练)(原卷版).docx
- 新高考生物二轮复习讲练测第5讲 细胞的分裂、分化、衰老和死亡(检测)(原卷版).docx
- 新高考生物二轮复习讲练测第12讲 生物与环境(讲练)(原卷版).docx
- 新高考生物二轮复习讲练测第11讲 植物生命活动的调节(检测)(原卷版).docx
文档评论(0)