基于FPGA汉字循环显示设计.docVIP

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于FPGA汉字循环显示设计

目录 0引言与摘要2 1系统设计功能与要求3 2系统设计思路3 3现场可编程门阵列FPGA与QualtusⅡ软件的简介4 3.1现场可编程门阵列FPGA的简介4 3.1.1 FPGA概述4 3.1.2 FPGA基本结构4 3.2 QualtusⅡ软件的简介6 4各模块设计说明、源代码及仿真结果7 4.1分频模块的设计7 4.2计数电路模块的设计10 4.3并置电路模块的设计13 4.4存储电路模块的设计15 5系统整体设计说明、源代码及仿真结果15 5.1系统整体设计说明15 5.2系统整体仿真16 6设计创新点说明及设计心得16 7参考文献17 基于FPGA的汉字循环显示设计 青海民族大学 摘 要:简单介绍16x16点阵显示的基本原理;结合实例分析如何用较为简单的方法设计循环汉字显示,并且给出了部分VHDL源文件。 关键词:LED点阵 VHDL 汉字显示 FPGA EDA Abstract: Brief introduction to the basic principles of 16x16 dot matrix display; with examples of how to use a relatively simple method of design cycle character display, and gives the Block Diagram / Schematic File and part of the VHDL source files. Keywords: LED VHDL Chinese displaying FPGA EDA 0.引言: 随着社会的不断发展,现今随处可见的广告牌都是用彩灯似的汉字循环显示,车站,商店,酒吧等等。 而关于FPGA的汉字显示的设计也是精华不断,各有其创新,但大多都还是通过按键选择来控制滚动的思路,或者通过单片机来控制,前者不具有自动控制而后者由于单片机硬件资源的局限性,所以多不是最为理想的设计思路。本文作者采用当今数字系统设计主流技术——EDA技术,利用QualtusⅡ,巧加分频器实现自动循环。作者调试成功,效果理想。 1系统设计功能与要求 本设计简单介绍了LED点阵显示汉字的原理,使用高亮度LED发光管构成点阵,通过编程控制可以显示中英文字符、图形及视频动态图形。LED显示以其组构方式灵活、亮度高、技术成熟、成本低廉等特点在证券、运动场馆及各种室内/外显示场合得到广泛的应用。本实验结合实例运用基于FPGA的VHDL语言编程使其循环显示“青海民大”四个字。 利用FPGA控制实现汉字在点阵上的循环显示,“青”“海”“民”“大”四个字(如图1.1如示)在点阵上以约一秒的间隔在16*16的点阵上分别显示。字体要求工整,易于识别,不要过于偏离点阵正中心。 图1.1 青海民大 2系统设计思路 根据系统设计的要求,设计主要由:时钟模块、分频器模块、计数器模块、并置模块、存储器模块等构成(如图2.1所示)。其中时钟模块主要用于提供时钟信号,让电路工作。分频器主要将时钟进行分频,产生比原来时钟小得多的另一信号。 计数器模块主要在时钟的驱动下为存储器提供扫描地址,实现对点阵模块的控制。并置模块主要用于将两个时钟信号并置,从而实现汉字间的循环显示。存储器模块主要用存储汉字的字型码,可通过改变字型码实现不同汉字的显示。 图2.1 3现场可编程门阵列FPGA与QualtusⅡ软件的简介 3.1 FPGA的简介 3.1.11 FPGA概述 FPGA是现场可编程门阵列(Field Programmable Gate Array)的简称,与之相应的CPLD是复杂可编程逻辑器件(Complex Programmable Logic Device)的简称,两者的功能基本相同,只是实现原理略有不同,有时可以忽略这两者的区别,统称为可编程逻辑器件或CPLD/PGFA。CPLD/PGFA几乎能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路。它如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入或硬件描述语言自由的设计一个数字系统。通过软件仿真可以事先验证设计的正确性,在PCB完成以后,利用CPLD/FPGA的在线修改功能,随时修改设计而不必改动硬件电路。使用CPLD/FPGA开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。这些优点使得CPLD/FPGA技术在20世纪90年代以后得到飞速的发展,同时也大大推动了EDA软件和硬件描述语言HDL的进步。 3.1.2 FPGA基本结构 FPGA具有掩膜可编程门阵列的通用结构,它由逻辑功能块排成阵列,并由可编程的互连资源连接这些逻辑功能块来实现不同的设计。 FPGA一般由3种可编程

文档评论(0)

bokegood + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档