数字钟河南工业大学EDA课程设计.docVIP

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
课 程 设 计 课程设计名称: EDA课程设计 专 业 班 级 电科1301 学 生 姓 名 : 学 号 : 201316030 指 导 教 师 : 焦素敏 课程设计时间: 2016-6-20~2016-7-2 1 设计任务及要求 用VHDL语言在FPGE/CPLD上实现数字钟的设计。掌握各类计数器的设计方法;掌握多个数码管显示的原理与方法;掌握VHDL语言的设计思想;掌握EDA技术的层次化设计方法;对整个系统的设计有一个初步了解。 数字钟的设计要求如下: (1)具有正确的时、分、秒计时功能。 (2)计时结果要有6个数码管分别显示时、分、秒的个位和十位。 (3)有校时功能,当Key3键按下时,分计数器以秒脉冲的速度递增,并按60min循环,即计数到59min后再回00。当按下Key1键时,时计数器以秒脉冲的速度递增,并按24h循环,即计数到23h后再回00。 (4)利用扬声器整点报时。 2设计原理及总体框图 数字钟的顶层电路原理图如图1所示: (图1 数字钟的顶层电路原理图) Clky引脚输入1Hz秒脉冲,输入一个60进制秒计数器,60进制计数器外接输出引脚,输出到秒数码管上显示。当计满60位时,输出引脚CO产生进位输出电平,输入到60进制分计数器上。 60进制分计数器接受来自60进制秒计数器的进位电平开始计数,并由输出引脚将所计数字输出到分数码管上显示。当计满60个数后,产生进位输出电平,由进位输出CO引脚输出到20进制时计数器上。 20进制时计数器接受来自60进制分计数器的进位电平开始计数,并由输出引脚将所计数字输出到时数码管上显示。当计满20个数后,产生进位输出电平,由进位输出CO引脚输出到异步清零引脚Key3上,整个系统清零,从00:00:00开始重新计数。 整点报时模块,当是整点显示时,蜂鸣器报时,key1、key2、key3、引脚分别接开关。key3负责清零,key1引脚接1Hz秒脉冲时实现以秒频率校准分功能,key2引脚接1Hz秒脉冲实现以秒频率校准时功能。 3 程序设计 60进制BCD码计数器的源程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY cnt60 IS PORT( clr:IN STD_LOGIC; clk:IN STD_LOGIC; ten:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); one:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); co:OUT STD_LOGIC ); END cnt60; ARCHITECTURE behav OF cnt60 IS SIGNAL cin:STD_LOGIC; BEGIN PROCESS(clk,clr) VARIABLE cnt0:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF clr=1 THEN cnt0:=0000; ELSIF clkEVENT AND clk=1 THEN IF cnt0=1000 THEN cnt0:=cnt0+1;cin=1; ELSIF cnt0=1001 THEN cin=0;cnt0:=0000; ELSE cnt0:=cnt0+1; cin=0; END IF; END IF; one=cnt0; END PROCESS; PROCESS(clk,clr,cin) VARIABLE cnt1:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF clr=1 THEN cnt1:=0000; ELSIF clkEVENT AND clk=1 THEN co=0; IF cin=1 THEN IF cnt1=0101THEN cnt1:=0000;co=1; ELSE cnt1:=cnt1+1;co=0; END IF; END IF; ELSE cnt1:=cnt1; END IF; ten=cnt1; END PROCESS; END behav; 24进制计数器的VHDL源程序: LIB

文档评论(0)

celkhn5460 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档