cpld编程从零开始.pptVIP

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
CPLD技术基础 ——基于QuartusⅡ的FPGA/CPLD设计 1、4位并入串出移位寄存器 功能描述: 4位并入串出移位寄存器中,输入端为并行输入,输出端的数据在时钟边沿的作用下逐个输出。clk为时钟信号输入端, clr为清零端, din为数据输入端,dout为数据输出端。 1、 4位并入串出移位寄存器 D0 D0 D0 D0 Q3 Q2 Q1 Q0 D2 D1 D0 D0 D3 D2 D1 D0 D1 D0 D0 D0 D3 D2 D1 D0 1、 4位并入串出移位寄存器 代码(1): LIBRARY IEEE; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY test39 IS PORT(clk,clr: IN std_logic; din: IN std_logic_VECTOR(3 DOWNTO 0); dout: OUT std_logic); END test39; ARCHITECTURE one OF test39 IS ----4进制计数器 signal cnt:STD_LOGIC_VECTOR(1 DOWNTO 0); ----4位寄存器 signal q:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN 1、 4位并入串出移位寄存器 代码(2): PROCESS(clk) BEGIN if clkevent and clk=1 then cnt=cnt+1; end if; END PROCESS; ---------------- PROCESS(clk,clr) BEGIN if clr=1 then q=0000; elsif clkevent and clk=1 then if cnt00 then q(3 downto 1)=q(2 downto 0); elsif cnt=00 then q=din; end if; end if; END PROCESS; dout=q(3); END ARCHITECTURE one ; 2、3位移存型顺序脉冲发生器 功能描述: 顺序脉冲发生器在系统时钟作用下,输出3路节拍控制脉冲。 clk为时钟信号输入端, clr为清零端, q0、q1、q2为脉冲输出端。 2、 3位移存型顺序脉冲发生器 代码(1): LIBRARY IEEE; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY test40 IS PORT (clk,clr: IN std_logic; q0,q1,q2: OUT std_logic); END test40; ARCHITECTURE one OF test40 IS signal y,x:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN 2、 3位移存型顺序脉冲发生器 代码(2): PROCESS(clk,clr) BEGIN if clkevent and clk=1 then if clr=1 then y=000;x=001; else y=x;x=x(1 downto 0)x(2); end if; end if; END PROCESS; q0=y(0);q1=y(1);q2=y(2); END ARCHITECTURE one ; 3、 8位序列信号发生器 功能描述: 序列信号发生器能在系统时钟的作用下循环产生一组或多组序列信号。本例用来循环产生一组信号。clk为时钟脉冲输入端,clr为清零端,dout为序列信号输出端。 3、 8位序列信号发生器 代码: LIBRARY IEEE; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY test41 IS PORT (clk,clr: IN std_logic; dout: OUT std_logic); END test41; ARCHITECTURE one OF test41 IS signal q:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN PROCESS(clk,clr) BEGIN if clkevent and clk=1 then if clr=1 then dout=0;q else dout=q(7);q=q(6 downto 0)q(7);

文档评论(0)

xiaohuer + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档