可编程硬件描述语言VHDL Quartus 六十进制计数器设计报告.doc

可编程硬件描述语言VHDL Quartus 六十进制计数器设计报告.doc

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
DATE \@ M/d/yyyy h:mm:ss am/pm 4/26/2020 11:50:06 PM Author:王定 六十进制计数器设计报告 目录 TOC \o 1-3 \h \z \u 六十进制计数器设计报告 1 一、 题目剖析 2 二、 设计思路 2 三、 设计过程 2 1、 真值表 2 2、 源代码分析 2 3、 仿真分析 5 功能仿真 5 4、 注意事项 6 四、 总结 6 题目剖析 一个4位二进制计数器可以构成1位十进制计数器,既可以构成1位BCD计数器,而2位十进制计数器可以构成一个100进制计数器,所以6

文档评论(0)

131****2505 + 关注
实名认证
内容提供者

90后互联网人。产品狗一枚,兴趣爱好广泛

1亿VIP精品文档

相关文档