88滚动汉字LED显示器实习报告.docx

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
PAGE PAGE 10 电子设计自动化 专 业: 班 级 : 姓 名 : 学 号 : 指导教师: 实习日期: 目录 一、 实验题目 3 二、 实验目的 3 三、实验原理 3 1、 实验采用 EDA 点阵显示汉字原理 3 2、 硬件原理图 4 四.模块设计 4 1.8 进制计器 4 2.18 进制计数器 4 字显示控制模块 5 五、 调试过程 1 六、 实习心得 11 七、 参考文献 11 一、 实验题目:滚动汉字LED 显示器二、 实习目的 硬件实训目的: 熟悉 EDA 实验箱的基本工作原理。 熟悉并掌握EDA 实验箱各个模式的功能。 提高学生的动手能力。 软件实训目的: 熟悉并掌握quartus‖软件的使用。 熟练的使用原理图输入设计方法,VHDL 语言编写程序, 进一步了解和掌握各个程序语言,提高编程的熟练程度。 掌握基本器件设计的方法思想,如计数器;掌握点阵LED 显示字的基本原理。 拓宽学生知识面,增强工程意识,培养学生的分析和解决实际问题的能力。 提高学生的动手能历。 三、 实验原理: 1、实验采用EDA 点阵显示汉字原理: 以 8×8 的 LED 点阵为例,8×8 的 LED 点阵是由 64 个发光二极管按矩阵形式排列而成,每一行上的发光管有一个公共的阳极(或阴极),每一列上的发光管有一个公共的阴极(或 阳极),一般按动态扫描方式显示汉字或图形。扫描分为点扫描、行扫描和列扫描三种方式。行扫描需要按行抽取字型码,列扫描则需要按列抽取字型码。为满足视觉暂留要求,若使用 点扫描方式,其扫描频率必须大于 16×64=1 024Hz,周期小于 1ms。若使用行或列扫描, 则频率必须大于 16×8=128Hz,周期小于7.8ms。由于所用实验板上提供有1 kHz 的时钟, 本例设计中利用该时钟进行扫描,使每行扫描时间为 1 ms,实验结果显示亮度合适。现以行扫描为例简单说明动态扫描显示的原理。图 1 给出汉字“热”在点阵为列共阳时的行字模。工作时先将要扫描行的点阵字模从各列上输出,再让译码器选中(扫描)该行,使本行得以显 示,接着再送下一行数据,再使下一行有效,直到8 行全被扫描一遍。至此,一幅完整的文 字信息就显现出来,然后再反复扫描这 8 行直至显示新的信息。以下设计采用行扫描方式实现滚动显示。 2、硬件原理图: 整个电路由 4 部分组成,即:8 进制计数器、18 进制计数器、字显示控制模块、138 译码器。 四、 模块设计: 8 进制计数器: 8 进制计数器主要有两个功能,首先作为 138 译码器的输入,第二个是作为字显示模块的控制信号,控制选定的列扫描信号,程序如下: Library ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY js_8 IS Port(clk,re:in std_logic; q:out std_logic_vector(2 downto 0)); end js_8; architecture count_8 of js_8 is begin process(clk,re) variable tmp:std_logic_vector(2 downto 0); begin if re=1 then tmp:=(others=0); elsif clkevent and clk=1 then if tmp7 then tmp:=tmp+1; else tmp:=(others=0); end if; end if; q=tmp; end process; end count_8; 18 进制计数器: 18 进制计数器同样有两个功能,第一他为 138 译码器输入控制信号,是 138 译码器工作,第二控制字显示控制模块,控制字显示控制模块显示的汉字的时序,主要程序如下: Library ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY js_18 IS Port(clk,re:in std_logic; q:out std_logic_vector(2 downto 0)); end js_8; architecture count_18 of js_18 is begin process(clk,re) variable tmp:std_logic_vector(2 downto 0); begin if re=1 then t

文档评论(0)

hao187 + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体武汉豪锦宏商务信息咨询服务有限公司
IP属地上海
统一社会信用代码/组织机构代码
91420100MA4F3KHG8Q

1亿VIP精品文档

相关文档