FPGA课程设计 交通灯控制电路的设计.pdf

FPGA课程设计 交通灯控制电路的设计.pdf

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

交通灯控制电路的设计

1.设计原理

交通灯控制电路的原理框图如图3.3所示。其中,clkgen是分频器,将EDA实训仪主板提

供的20MHz的主频经分频后,得到电路所需的1Hz(秒)时钟。Cnt10de(两个)

是十进制减法计数器,产生道路东西和南北通行和禁止的倒计时时间。Contr是控制电路,

控制整个系统的工作。控制器接收倒计时的结果,当倒计时归0时,改变电路的控制模式,

输出倒计时的初始时间和交通灯亮灭控制信号。

图3.3交通灯控制电路的原理框图

2.设计要求

①用EDA实训仪上的4只八段数码管分别显示道路东西和南北通行和禁止的倒计时时间。

②能设置道路东西和南北两侧通行和禁止的倒计时时间,最大设置时间为99秒,最小设

置时间为1秒。

③交通灯用红、绿、黄三种发光二极管(LED)显示控制的结果。

④红、绿、黄灯显示的次序应符合实际交通道路控制的要求。

图2交通灯控制电路的原理图

图2是我们小组本次实训设计的交通灯控制电路的逻辑原理图。

交通灯工作的四种工作状态方式如下:

工作状态一:东西——红——倒计时:20→4(秒)

南北——绿——倒计时:16→0(秒)

工作状态二:东西——红——倒计时:3→0(秒)

南北——黄——倒计时:3→0(秒)

工作状态三:东西——绿——倒计时:16→0(秒)

南北——红——倒计时:20→4(秒)

工作状态四:东西——黄——倒计时:3→0(秒)

南北——红——倒计时:3→0(秒)

(以上四种工作状态循环进行)

1系统软件设计分析

逻辑原理图里边一共有四个模块,即

1)分频器模块

2)蜂鸣器模块

3)计数器模块

4)控制器模块

而我负责完成的模块则是控制器模块,控制器主要控制红绿灯的转换,我增

加了一个en使能端,高电平时进入夜晚模式和暂停,不进行倒计时。lod是预

置信号输入端,对倒计时数预置,使芯片的输出状态与预置输入端相同,使芯片

进入多种工作状态,。若要改变通过initial语句改变。

//控制器

modulekzq(js,en,clk,d,lod,dx,nb,qajs);

input[7:0]js;

inputen;

inputclk;

outputreg[3:0]d;//定义1个4位寄存器型输出变量

outputreglod;

outputreg[7:0]dx;

outputreg[7:0]nb;

outputreg[7:0]qajs;

reg[7:0]green;//定义1个8位寄存器型变量

reg[1:0]fs;

reg[7:0]red;

initialbeginred=h20;end//对red灯进行初始化,并赋值20s

initialbeginfs=0;end

always@(posedgeclk)//时钟信号上升沿有效

begin

begin

if(~en)

begin

if(js==0)lod=0;

elselod=1;

end

elsebegin

文档评论(0)

各类考试卷精编 + 关注
官方认证
内容提供者

各类考试卷、真题卷

认证主体社旗县兴中文具店(个体工商户)
IP属地河南
统一社会信用代码/组织机构代码
92411327MAD627N96D

1亿VIP精品文档

相关文档