- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL8 Practical example v5c.ppt
VHDL8 Practical example v5c * Exercise 8.6 Fill in the modes (in, out, inout or buffer) of the input/output signal. SRAM (memory) CPU address lines (A0-A16) data lines (D0-D7) /CS,/OE and /WE lines VHDL8 Practical example v5c * Exercise 8.7 Referring to the figure, what would happen if /RD of the CPU (connected to /OE) goes up before the data valid region occurs? tRC ADD /CE Or (/CS) /OE DOUT VHDL8 Practical example v5c * Exercise 8.8 : Referring to the Figure, if tAS=0ns, twc=100ns,tCW=80ns, give comments on the limits of tAW, tWP and tDW.. ADD /CE Or (/CS) /WE DIN tWC tCW tAW tDW tWP VHDL8 Practical example v5c * Part 2 The Logic Analyzer The Logic Analyzer Overall diagram VHDL8 Practical example v5c * Xilinx based hardware ARM7 board RAM Reset Rec Play DA_in[7..0] DA_out[7..0] Serial port Display waveform VHDL8 Practical example v5c * Memory (32K) interface entity logic_rec is Port ( clk40k_in: in std_logic; reset: in std_logic; rec, play: in std_logic; --user inputs -- mem RAM bus bar_ram_we27: out std_logic; bar_ram_cs20: out std_logic; bar_ram_oe22: out std_logic; -- 32k-byte ram_address_buf: buffer std_logic_vector(14 downto 0); ram_data_inout: inout std_logic_vector(7 downto 0); da_data_out: buffer std_logic_vector(7 downto 0); da_data_in: in std_logic_vector(7 downto 0)); end logic_rec; VHDL8 Practical example v5c * Static memory (SRAM 32Kbytes) data pins Diagrams are obtained from data sheet of HM62256B VHDL8 Practical example v5c * HM62256B Memory read timing diagrams VHDL8 Practical example v5c * HM62256B Write mode timing diagram Flow diagram VHDL8 Practical example v5c * s_init s_rec_address_change s_rec_read_from_da_to_reg1 s_rec_we_cs_down s_rec_writeto_da_ram s_play_address_change s_play_cs_oe_down s_play_read_in_reg1 s_play_writeto_da ram_address_buf =not all’1’ ram_address_buf =all’1’ ram_address_buf =not all’1’ rec=‘0’ play=‘0’ reset=‘0’ VHDL8 Practical example v5c * Architecture architecture Behavioral
您可能关注的文档
- Seasons of love…the month to celebrate love….ppt
- Section A (1a----2c).ppt
- Section A (Grammar Focus) + Section B (1a-1d).ppt
- Section B 1a-1d.ppt
- Section BPeriod One.ppt
- Section C Practical Writing.ppt
- Section III overview.ppt
- Section III Oxygen and Carbon Dioxide Transport in Blood.ppt
- Selecting Appropriate Statistical Procedures.ppt
- Semantic classification of Chinese unknown words.ppt
- VI. Brief Introduction for Acoustics.ppt
- VIP会员项目规划.ppt
- Vocabulary By Annie.ppt
- Volunteer Leadership.ppt
- V(标况).ppt
- wán zhànɡ fù yuǎn 完 雪仗 父亲 永远 zhú jiāo tònɡ léi追逐 郊外.ppt
- wáng 23 王二小.ppt
- wèi.ppt
- wú .ppt
- Wanda Department Store Co., Ltd..ppt
文档评论(0)