电机调速.doc解析.docx

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电机调速.doc解析

FPGA综合实验报告题目:基于FPGA的直流电机PWM调速系统设计与实现班级:姓名:学号:指导老师:日期:2016年3月24日一、设计任务基于FPGA的直流电机PWM调速系统设计与实现二、设计要求2.1采用PWM脉冲宽度调制技术,实现对直流电机闭环调速,速度值采用LCD或数码管显示。2.2掌握PWM技术原理;了解PWM控制方法及应用;完成基于FPGA 的PWM调速系统设计2.3通过课程设计的实践,进一步了解和掌握硬件描述语言(VHDL或Verilog)和TOP-DOWN的设计流程,提高对实际项目的分析和设计能力,体会FPGA项目开发的过程,熟悉实验报告的编写规范。三、设计原理直流电机原理直流电机的结构由定子和转子两大部分组成。直流电机运行时静止不动的部分称为定子,定子的主要作用是产生磁场,磁场由机座、主磁极、换向极、端盖、轴承和电刷装置等组成。运行时转动的部分称为转子,其主要作用产生电磁转矩和感应电动势,是直流电机进行能量转换的枢纽,所以通常又称为电枢,由转轴、电枢铁心、电枢绕组、换向器和风扇等组成。 导体受力的方向用左手定则确定。这一对电磁力形成了作用于电枢的一个力矩,这个力矩在旋转电机里称为电磁转矩,转矩的方向是逆时针方向,企图使电枢逆时针方向转动。如果此电磁转矩能够克服电枢上的阻转矩(例如由摩擦引起的阻转矩以及其它负载转矩),电枢就能按逆时针方向旋转起来。当电枢转了180°后,导体cd边转到N极下,导体ab边转到S极下时,由于直流电源供给的电流方向不变,仍从电刷A流入,经过导体cd、ab后,从电刷B流出。这时导体cd边受力方向变为从右向左,导体ab边受力方向是从左向右,产生的电磁转矩的方向仍为逆时针方向。 因此,电枢一经转动,由于换向器配合电刷对电流的换向作用,直流电流交替地由导体ab和cd流入,使线圈边只要处于N极下,其中通过电流的方向总是由电刷A流入的方向,而在S极下时,总是从电刷B流出的方向。这就保证了每个极下线圈边中的电流始终是一个方向,从而形成一种方向不变的转矩,使电动机能连续地旋转。这就是直流电动机的工作原理。电路图3.3方案在上图中包含PWM模块、测速模块、计数模块、驱动模块、消抖模块。电机的外部电路加入开关型的霍尔元件,同时在电子转子的转盘上加入一个使霍尔元件产生输出的带有磁场的磁钢片。当直流电机旋转时转盘与磁钢片一起旋转,当磁钢片转到霍尔元件上方时,可以使霍尔元件的输出端高电平变为低电平。当磁钢片离开霍尔元件上方后,霍尔元件输出端又恢复高电平。如此,点击每旋转一周,会使霍尔元件的输出端产生一个低脉冲,可以通过检测单位时间内霍尔元件输出端低脉冲的个数推算出直流电机的转速。本次设计为六秒记一次数,乘十就是每分钟转速值。再通过驱动模块显示数码管数据。四.实验结果连接好试验箱,把程序下载到实验箱中。把硬件与实验箱接通,进行实验,实验结果与预期效果相同实验无误。示波器观察PWM脉冲波形:占空比占空比50%占空比90%实验结果分析:实验结果虽然有一些误差,但这些误差在一定范围内是允许的。此次实验实现了FPGA和AD电路模块共同设计一个基于PWM技术的直流电机控制,用外部按键控制或电位器控制PWM脉冲的占空比,使其实现不同速度、不同方向的转动效果的设计要求,达到了实验的目的。实现了两种调速方式,电机转速及转向的改变,及电机转速和显示。五.遇到的问题及解决:??1、在调试数码管时,数码管不能正常显示。?通过仔细检查发现在led数码显示模块的编程中出现了很大的问题,在修改了主程序并重新生成电路原件,重新调用后恢复正常。2、在完成整体设计后电机不受pwm的控制,在调整了pwm模块的管脚分配后正常。七、程序代码1、PWM模块程序:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity PWM isport(clock:instd_logic;duty_cycle:instd_logic_vector(3 downto 0);pwm_en:instd_logic;pwm_out:outstd_logic);end entity;architecture art of PWM is signal pwm_out_io:std_logic; signal count:std_logic_vector(3 downto 0);beginpwm_out=pwm_out_io; process(clock) begin if rising_edge(clock) then if pwm_en=1 then count=coun

文档评论(0)

wbjsn + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档