EDA技术习题的.doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术习题的

填空 VHDL语言 和 Verilog HDL语言 。 EDA中文全称是 电子设计自动化 。IP核在EDA技术和开发中具有十分重要的地位 知识产权核或知识产权模块 。 。P21 一般情况下,FPGA是基于 与或阵列 的可编程逻辑结构,CPLD是基于 查找表 的可编程逻辑结构。P28 EDA仿真过程中主要涉及 时序 仿真和 功能 仿真。 EDA的优化设计主要是进行 资源 优化和速度优化,其中速度优化主要有 流水线设计 、 VHDL子程序有 、 两类。 EDA的中文全称为________,HDL为 _硬件描述语言___。 基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→__→综合→适配→___→编程下载→硬件测试 FPGA中文全称是_现场可编程门阵列_,CPLD中文全称是_复杂可编程逻辑器件_。其中___CPLD__是基于_FPGA__是基于查找表的可编程逻辑结构。P28 VHDL语言按照执行顺序的不同可以分为__和 并行语句_语句 在仿真延时 = x AFTER 20ns 中的ns指__固有______延时, = TRANSPORT x AFTER 20 ns中的ns指是 ____延时。 资源优化主要有__资源共享_、_逻辑优化__和 _串行化__等三种优化方式。P326 问答题 1)设计、调试十分困难。2)查找和修改十分不便。3)大量文档,不易管理。4)可移植性差。 5)只有在设计出样机或生产出芯片后才能进行实测。 EDA技术有很大不同: 1)采用硬件描述语言作为设计输入。2)库(Library)的引入。3)设计文档的管理。 4)强大的系统建模、电路仿真功能。5)具有自主知识产权。 6)标准化、规范化及IP核的可利用性。7)自顶向下设计方案。8)自动设计、仿真和测试技术。9)对设计者的硬件知识、经验要求低。10)高速性能好(与以CPU为主的电路系统相比) 。11)纯硬件系统的高可靠性。. 简述设计流程。 PLD器件可以分为哪几类? 什么是重载?重载函数有何用处? 答:同样名称的函数可以用不同的数据类型作为此函数的参数定义多次,以此定义的函数称为重载函数; VHDL语言按照执行顺序的不同可以分为哪两类基本语句?。什么是自顶向下的设计方法 在FPGA 设计过程中,综合的含义是什么?主要有哪几种类型的综合? 答:综合:将用行为和功能层次表达的电子系统转换成为低层次的便于具体实现的模块组合装配的过程。 有语言综合、行为综合、逻辑综合、结构综合 EDA技术最终实现目标的ASIC可以通过哪三种途径完成? 答:门阵法 标准单元法 可编辑逻辑器件控制法 简述信号与变量的主要区别。(分) 信号signal 变量variable 基本用法 用于电路线路中信号的连接 用于作为进程中局部数据存储单元 适用范围 在整个结构体中任何地方都可以适用 只能在所定义的进程中使用 行为特征 在进程最后才对信号赋值 立即赋值 在VHDL设计中,给复位有哪两种方法? 6.利用FPGA构成数字系统要配备一个PROM或EPROM? (分VHDL程序填空:(10分) 下面程序是一个VHDL描述,试补充完整。 空1 IEEE; use 空 .STD_LOGIC_1164.all; (IEEE) use ieee. 空 .all; (STD_LOGIC_UNSIGNED) 空 cnt_add_sub is (ENTITY) port(clk : in STD_LOGIC; rst : in STD_LOGIC; enable : in STD_LOGIC; add_sub : in STD_LOGIC; q : out STD_LOGIC_VECTOR(15 downto 0)); end cnt_add_sub; architecture cnt_add_sub of 空 is (cnt_add_sub) 空 q_tmp: STD_LOGIC_VECTOR(15 downto 0);(SIGNAL) begin 空 (clk,rst) (PROCESS) begin 空 rst=1 then q_tmp=(others=0);( if ) elsif 空 and clk=1 th

文档评论(0)

ayangjiayu5 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档