- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
没有奇偶校验的,50M时钟,固定115200波特的试验代码
没有奇偶校验的,50M时钟,固定115200波特的试验代码
原创经过实际硬件运行过的FPGA 串口基本功能,输入50MHz时钟,波特115200,演示功能会吧串口RX收到的数据从TX输出两次,对其他时钟和波特要换参数
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity SERIAL is
Port ( clk,exreset,rx :in STD_LOGIC;
datain:in STD_LOGIC_vector(15 downto 0);
sendfinish,rxtest1 :buffer STD_LOGIC;
rxbuf:buffer std_logic_vector(7 downto 0);
tx,receivesuccess,stopbiterr,clkrxouttest,rxtest: out STD_LOGIC);
end SERIAL;
architecture Behavioral of SERIAL is
TYPE sreg IS (ready,startbitpending,startbitsure,receive);
signal sendprocess,serialtxclk,serialrxclk,success:STD_LOGIC;
signal clktxcount,overcount :integer range 0 to 511;
signal txbitcount,pendingcount:integer range 0 to 31;
signal shiftcount:integer range 0 to 15;
signal txshift:STD_LOGIC_vector(15 downto 0);
signal rxbitcount,clkrxcount,t2,t3,t4,t5:integer range 0 to 127;
signal bittemp:integer range 0 to 3;
signal rxshift:std_logic_vector(7 downto 0);
signal currentstate,nextstate:sreg;
begin
serialtxclkout:process(exreset,clk)
begin
if exreset=0 then
clktxcount=0;
serialtxclk=1;
elsif rising_edge(clk) then
if clktxcount=433 then
--if clktxcount=3 then
clktxcount=0;
serialtxclk=1;
else
clktxcount=clktxcount+1;
if clktxcount=216 then
--if clktxcount=1 then
serialtxclk=0;
end if;
end if;
end if;
end process serialtxclkout;
serialrxclkout:process(exreset,clk)
begin
if exreset=0 then
clkrxcount=0;
clkrxouttest=1;
serialrxclk=1;
elsif rising_edge(clk) then
if clkrxcount=61 then
clkrxcount=0;
clkrxouttest=1;
serialrxclk=1;
else
clkrxcount=clkrxcount+1;
if clkrxcount=30 then
serialrxclk=0;
clkrxouttest=0;
end if;
end if;
end if;
end process serialrxclkout;
rxin:process(exreset,serialrxclk)
begin
if exreset=0 then
overcount=0;
currentstate=ready;
nextstate=ready;
pendingcount=0;
rxbitcount=0;
success=0;
elsif rising_edge(serialrxclk) then
if sendfinish=0 then
success=0;
end if;
if overcount70 then
文档评论(0)