EDA技术8_状态机.ppt

  1. 1、本文档共61页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术8_状态机

* 采用传统方法进行设计 将计数器和输出波形都在时钟信号上升沿时进行赋值。 library ieee; use ieee.std_logic_1164.all; entity signal_gen is port (clk: in BIT; wave: out BIT); end signal_gen; architecture arch1 of signal_gen is begin process (clk) variable count: integer range 0 to 7; begin wait until (clk’event AND clk=‘1’); case count is when 0=wave=‘0’; when 1=wave=‘1’; when 2=wave=‘0’; when 3=wave=‘1’; when 4=wave=‘1’; when 5=wave=‘1’; when 6=wave=‘0’; when 7=wave=‘0’; end case; count:=count+1; end process; end arch1; * 第8章 思考题 1、按输入的相关性,状态机的种类有哪些? 2、标准的FSM设计模板包括几个部分? 3、FSM的设计风格#1和设计风格#2有何区别? 4、FSM有哪些主要的编码方式? * 条件输出必须紧随一个判断框 * 先画输入输出和寄存器。再画组合逻辑 系统当前状态保存在寄存器中。寄存器的输出是当前状态,输入是次态 * 书上有状态图例子。 MOORE更容易设计和调试但是需要更多的状态。 * ASM图是同步系统的描述或规范,在某种意义上它是一种抽象描述,描述这个系统是做什么,但没有说明怎么做。任何给出的ASM可用不止一种方法在硬件上实现,然后ASM可被用做硬件综合过程的开始点。 ASM图表示物理硬件 Present_state=AB, next_state=A’B’ * * 先画输入输出和寄存器。再画组合逻辑 系统当前状态保存在寄存器中。寄存器的输出是当前状态,输入是次态 * ASM图是同步系统的描述或规范,在某种意义上它是一种抽象描述,描述这个系统是做什么,但没有说明怎么做。任何给出的ASM可用不止一种方法在硬件上实现,然后ASM可被用做硬件综合过程的开始点。 ASM图表示物理硬件 Present_state=AB, next_state=A’B’ * 交通灯控制器 ARCHITECTURE rtl OF traffic_light_ctrl IS TYPE state_type IS (G,R); SIGNAL p_state, n_state : state_type; BEGIN 交通灯控制器 seq_proc : PROCESS (clk) IS BEGIN IF RISING_EDGE (clk) THEN p_state = n_state; END IF; END PROCESS seq_proc; 交通灯控制器 comb_proc : PROCESS (car, timed, p_state) BEGIN start_timer = 0; CASE p_state IS WHEN G = major_green = 1; minor_green = 0; IF (car = 1) THEN start_timer = 1; n_state = R; ELSE n_state = G; END IF; 交通灯控制器 WHEN R = major_green = 0; minor_green = 1; IF (timed = 1) THEN n_state = G; ELSE n_state = R; END IF; END CASE; END PROCESS comb_proc; END rtl; 同步有限状态机种类 Moore 和Mealy 机 Moore机仅输出当前状态的函数 Mealy机输

文档评论(0)

yaocen + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档